Guest User

Untitled

a guest
Dec 7th, 2017
86
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.35 KB | None | 0 0
  1. module block_nonblock(output logic x,x1,y,y1,input logic a,b,c);
  2.  
  3. always@* begin : BLOCKING
  4. x1 = a & b;
  5. x = x1 & c;
  6. end
  7.  
  8. always@* begin : NONBLOCKING
  9. y1 <= a & b;
  10. y <= y1 & c;
  11. end
  12.  
  13. endmodule
  14.  
  15. always@* begin : BLOCKING
  16. x1 = a & b;
  17. x = x1 & c;
  18. end
  19.  
  20. always@* begin : NON BLOCKING
  21. x1 <= a & b;
  22. x <= x1 & c;
  23. end
Add Comment
Please, Sign In to add comment