Advertisement
Guest User

Untitled

a guest
Jul 16th, 2019
88
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 170.87 KB | None | 0 0
  1. led_PrimaryPatterns = {
  2. [1] = {
  3. [1] = "00000000000000000000000000000000000000",
  4. [2] = "00000000000000000000000000000000000000",
  5. [3] = "00000000000000000000000000000000000000",
  6. [4] = "00000000000000000000000000000000000000",
  7. },
  8. [2] = {
  9. [1] = "0000000011111111",
  10. [2] = "0000000011111111",
  11. [3] = "1111111100000000",
  12. [4] = "1111111100000000",
  13. },
  14.  
  15. }
  16.  
  17. led_SecondaryPatterns = {
  18. [1] = {
  19. [7] = "00000000000000000000000000000000000000",
  20. [8] = "00000000000000000000000000000000000000",
  21. [9] = "00000000000000000000000000000000000000",
  22. },
  23. [2] = {
  24. [7] = "00000000000000001111001111000011100111000000000000000000000000111100111100001111001111000000000000000000000000111100111100001111001111000000000000000000000000111100111100001111001111000000000000000000000000011110011110000111100111100000000000000000000000011110011110011",
  25. [8] = "11000011110011110000000000000000000000111100111100001111001111000000000000000000000000111100111100001111001111000000000000000000000000111100111100001111001111000000000000000000000000111100111100001111001111100000000000000000000000011110011110000111100111100000000000000",
  26. [9] = "00000000000000001111001111000011100111000000000000000000000000111100111100001111001111000000000000000000000000111100111100001111001111000000000000000000000000111100111100001111001111000000000000000000000000011110011110000111100111100000000000000000000000011110011110000",
  27. },
  28. [3] = {
  29. [7] = "110000111100111000000000000000000000000111100111100001110011100000000000000000000000111100111000111100111100000000000000000000000111001111000110011110000000000000000000000011101111000011100111100000000000000000000001111001111000011110011100000000000000000000000011110011100001111001111000000000000000000000000111100111100001110000001",
  30. [8] = "000000000000000111100111100001111001111000000000000000000000011110011110000111101111000000000000000000000011110011110000111100111000000000000000000001111001111000111100111100000000000000000000011110011110001110011110000000000000000000000011110011110000111100111100000000000000000000000111100111100001111001111000000000000000000000001",
  31. [9] = "000000000000000111100111100001111001111000000000000000000000011110011110000111101111000000000000000000000011110011110000111100111000000000000000000001111001111000111100111100000000000000000000011110011110001110011110000000000000000000000011110011110000111100111100000000000000000000000111100111100001111001111000000000000000000000001",
  32. },
  33. [4] = {
  34. [7] = "1110000111100111100000000000000000000000011110011110000111100111100000000000000000000000011110011110000111100111100000000000000000000000011110011110000111100111100000000000000000000000011110011110000111100111100000000000000000000000011110011110000111100111100000000000000000000000000",
  35. [8] = "1110000111100111100000000000000000000000011110011110000111100111100000000000000000000000011110011110000111100111100000000000000000000000011110011110000111100111100000000000000000000000011110011110000111100111100000000000000000000000011110011110000111100111100000000000000000000000000",
  36. [9] = "1110000111100111100000000000000000000000011110011110000111100111100000000000000000000000011110011110000111100111100000000000000000000000011110011110000111100111100000000000000000000000011110011110000111100111100000000000000000000000011110011110000111100111100000000000000000000000000",
  37. },
  38. [5] = {
  39. [7] = "00001100110011111111111100000000000000000000000000001111000011001100111111111111000000000000000000000000000011110000110011001111111111110000000000000000000000000000111100001100110011111111111100000000000000000000000000001111000000",
  40. [8] = "00001100110011111111111100000000000000000000000000001111000011001100111111111111000000000000000000000000000011110000110011001111111111110000000000000000000000000000111100001100110011111111111100000000000000000000000000001111000000",
  41. [9] = "00000000000000000000000011110000110011001111111111110000000000000000000000000000111100001100110011111111111100000000000000000000000000001111000011001100111111111111000000000000000000000000000011110000110011001111111111110000000000",
  42. },
  43. [6] = {
  44. [7] = "0000000000000000000111100001100110011111111111100000000000000000000000000001111000011001100111111111111000000000000000000000000000011110000110011001111111111110000000000000000000000000000111100001100110011111111111100000000000000000000000000001111000011001001111111111110000000000000000000000000000111100001100110011111111111100000000000000000000000000001111000011001100111111111111000000000000000000000000000011111100",
  45. [8] = "1100110011111111111000000000000000000000000000011110000110011001111111111110000000000000000000000000000111100001100110011111111111100000000000000000000000000001111000011001100111111111111000000000000000000000000000011110000110011001111111111110000000000000000000000000001111000011001100111111111111000000000000000000000000000011110000110011001111111111110000000000000000000000000000111100001100110011111111111100000000",
  46. [9] = "0000000000000000000111100001100110011111111111100000000000000000000000000001111000011001100111111111111000000000000000000000000000011110000110011001111111111110000000000000000000000000000111100001100110011111111111100000000000000000000000000001111000011001001111111111110000000000000000000000000000111100001100110011111111111100000000000000000000000000001111000011001100111111111111000000000000000000000000000011111100",
  47. },
  48. [7] = {
  49. [7] = "0011001001111111111110000000000000000000000000000111100001100110011111111111100000000000000000000000000001111000011001100111111111111000000000000000000000000000011110000110011001111111111110000000000000000000000000000111100",
  50. [8] = "0000000000000000000001111000011001100111111111111000000000000000000000000000011110000110011001111111111110000000000000000000000000000111100001100110011111111111100000000000000000000000000001111000011001100111111111111000000",
  51. [9] = "0000000000000000000001111000011001100111111111111000000000000000000000000000011110000110011001111111111110000000000000000000000000000111100001100110011111111111100000000000000000000000000001111000011001100111111111111000000",
  52. },
  53. [8] = {
  54. [7] = "0011001001111111111110000000000000000000000000000111100001100110111111111111000000000000000000000000000011110000110011001111111111110000000000000000000000000000111100001100110011111111111100000000000000000000000000011110000000",
  55. [8] = "0011001001111111111110000000000000000000000000000111100001100110111111111111000000000000000000000000000011110000110011001111111111110000000000000000000000000000111100001100110011111111111100000000000000000000000000011110000000",
  56. [9] = "0011001001111111111110000000000000000000000000000111100001100110111111111111000000000000000000000000000011110000110011001111111111110000000000000000000000000000111100001100110011111111111100000000000000000000000000011110000000",
  57. },
  58. [9] = {
  59. [7] = "000000011111111000000000000000000001111111100001111111100000000000000000000111111100001111111100000000000000000001111111100001111111100000000000000000000111111110000111111100000",
  60. [8] = "000000011111111000000000000000000001111111100001111111100000000000000000000111111100001111111100000000000000000001111111100001111111100000000000000000000111111110000111111100000",
  61. [9] = "000000000000000111100000000111111110000000000000000000011110000000011111111000000000000000000011110000000011111110000000000000000000011110000000011111111000000000000000000011111",
  62. },
  63. [10] = {
  64. [7] = "00000000000000011110000000011111111000000000000000000001111000000001111111100000000000000000000111100000000111111100000000000000000001111000000001111111000000000000000000001111000000000",
  65. [8] = "00000001111111100000000000000000000111111110000111111110000000000000000000011111111000011111111000000000000000000011111111000011111110000000000000000000111111110000111111110000000000000",
  66. [9] = "11100000000000011110000000011111111000000000000000000001111000000001111111100000000000000000000111100000000111111100000000000000000001111000000001111111000000000000000000001111000000000",
  67. },
  68. [11] = {
  69. [7] = "000000011111111000000000000000000001111111100001111111100000000000000000000111111110000111111110000000000000000000011111111000011111111000000000000000000001111111111",
  70. [8] = "000000000000000111100000000111111110000000000000000000011110000000011111111000000000000000000001111000000001111111100000000000000000000111100000000111111110000000000",
  71. [9] = "000000000000000111100000000111111110000000000000000000011110000000011111111000000000000000000001111000000001111111100000000000000000000111100000000111111110000000000",
  72. },
  73. [12] = {
  74. [7] = "100001111111100000000000000000000111111110000111111110000000000000000000111111110000111111110000000000000000000011111111000011111111000000000000000000001111111100001111111100000000000000000001111111100001111111100000000000000000000000",
  75. [8] = "000001111111100000000000000000000111111110000111111110000000000000000000111111110000111111110000000000000000000011111111000011111111000000000000000000001111111100001111111100000000000000000001111111100001111111100000000000000000000000",
  76. [9] = "000001111111100000000000000000000111111110000111111110000000000000000000111111110000111111110000000000000000000011111111000011111111000000000000000000001111111100001111111100000000000000000001111111100001111111100000000000000000000000",
  77. },
  78. [13] = {
  79. [7] = "1111110000000000000000111100111110000000000000000001111001111110000000000000000001111001111110000000000000000001111001111110000000000000000001111001111110000000000000000001111001111110000000000000000011111100",
  80. [8] = "1111110000000000000000111100111110000000000000000001111001111110000000000000000001111001111110000000000000000001111001111110000000000000000001111001111110000000000000000001111001111110000000000000000011111100",
  81. [9] = "0000000001111001111110000000000000000111100111111000000000000000000111100111111000000000000000000111100111111000000000000000000111100111111000000000000000000111100111111000000000000000000111100111110000000000",
  82. },
  83. [14] = {
  84. [7] = "0000000000111100111111000000000000000000111100111111000000000000000000111100111111000000000000000000111100111111000000000000000000111100111111000000000000000001111001111100000000000000000011110011111100000000",
  85. [8] = "1111110000000000000000001111001111110000000000000000001111001111110000000000000000001111001111110000000000000000001111001111110000000000000000011110011111100000000000000000111100111111000000000000000000111100",
  86. [9] = "0000000000111100111111000000000000000000111100111111000000000000000000111100111111000000000000000000111100111111000000000000000000111100111111000000000000000001111001111100000000000000000011110011111100000000",
  87. },
  88. [15] = {
  89. [7] = "11111100000000000000000011110011111100000000000000000011110011111100000000000000000011110011111100000000000000000111100111111000000000000000001111001111110000000000000000001110011111100000000000000000011110011111000000000000000000111100111111000000000000000001111001111110000000000000000001111001111110000000000000000001111001111110000000000000000001111001111110000000000000000001111001111110000000000000000001111001111110000000000000000001111001111110000000000000000001111001111110000000000000000001111001111110000000000000000001111001111110000000",
  90. [8] = "00000000001111001111110000000000000000001111001111110000000000000000001111001111110000000000000000001111001111100000000000000000111100111111000000000000000000111100111111000000000000000001111001111110000000000000000011110011111100000000000000000011110111111000000000000000000111100111111000000000000000000111100111111000000000000000000111100111111000000000000000000111100111111000000000000000000111100111111000000000000000000111100111111000000000000000000111100111111000000000000000000111100111111000000000000000000111100111111000000000000000000000",
  91. [9] = "00000000001111001111110000000000000000001111001111110000000000000000001111001111110000000000000000001111001111100000000000000000111100111111000000000000000000111100111111000000000000000001111001111110000000000000000011110011111100000000000000000011110111111000000000000000000111100111111000000000000000000111100111111000000000000000000111100111111000000000000000000111100111111000000000000000000111100111111000000000000000000111100111111000000000000000000111100111111000000000000000000111100111111000000000000000000111100111111000000000000000000000",
  92. },
  93. [16] = {
  94. [7] = "0111111000000000000000000111100111111000000000000000001111001111110000000000000000001111001111110000000000000000001111001111110000000000000000001111001111110000000000000000001111001111110000000000000000001111001111110000000000000000001111001111110000000000000000001111001111110000000000000000011110011111100000000000000000",
  95. [8] = "0111111000000000000000000111100111111000000000000000001111001111110000000000000000001111001111110000000000000000001111001111110000000000000000001111001111110000000000000000001111001111110000000000000000001111001111110000000000000000001111001111110000000000000000001111001111110000000000000000011110011111100000000000000000",
  96. [9] = "0111111000000000000000000111100111111000000000000000001111001111110000000000000000001111001111110000000000000000001111001111110000000000000000001111001111110000000000000000001111001111110000000000000000001111001111110000000000000000001111001111110000000000000000001111001111110000000000000000011110011111100000000000000000",
  97. },
  98. [17] = {
  99. [7] = "0100000000000111100110000000000001111001100000000000011110011000000000000111100110000000000001110011000000000001111001100000000000011110011000000000000111100110000000000001111001100000000000011110011000000000000111100110000000000001111001100000000000011110011000000000000111100110000000000001111001100000000000011110011000000000000111100110000000000011110011000000000000111100110000000000001111001100000000000011110011000000000000111100110000000000001111001100000000000011110011000000000000111001100000000000011110011000000000000111001100000000000011110011000000000000111100110000000000011110011000000000000111100110000000000001111001100000000000111101100000000000011100110000000000",
  100. [8] = "0100000000000111100110000000000001111001100000000000011110011000000000000111100110000000000001110011000000000001111001100000000000011110011000000000000111100110000000000001111001100000000000011110011000000000000111100110000000000001111001100000000000011110011000000000000111100110000000000001111001100000000000011110011000000000000111100110000000000011110011000000000000111100110000000000001111001100000000000011110011000000000000111100110000000000001111001100000000000011110011000000000000111001100000000000011110011000000000000111001100000000000011110011000000000000111100110000000000011110011000000000000111100110000000000001111001100000000000111101100000000000011100110000000000",
  101. [9] = "0000111100100000000000011110011000000000000111100110000000000001111001100000000000011110011000000000011110011000000000000111100110000000000001111001100000000000011110011000000000000111100110000000000001111001100000000000011110011000000000000111100110000000000001111001100000000000011110011000000000000111100110000000000001111001100000000000011110110000000000001111001100000000000011110011000000000000111100110000000000001111001100000000000011110011000000000000111100110000000000001111001100000000000111100110000000000001111001100000000000111100110000000000001111001100000000000011110011000000000001111001100000000000011110011000000000000111001100000000000111100110000000000011111100",
  102. },
  103. [18] = {
  104. [7] = "000011110011000000000000111100110000000000001111001100000000000011110011000000000000111100110000000000001111001100000000000011110011000000000000111100110000000000001111001100000000000011100110000000000001111001100000000000011110011000000000000111100110000000000001111001100000000000111100110000000000001111011000000000000111100110000000000001111001100000000000011110011000000000000111100110000000000001111001100000000000011110011000000000000111100110000000000001111011000000000000111100110000000000001111001100000000000011110011000000000000111101",
  105. [8] = "110000000000001111001100000000000011110011000000000000111100110000000000001111001100000000000011110011000000000000111100110000000000001111001100000000000011110011000000000000111100110000000000011110011000000000000111100110000000000001111001100000000000011110011000000000000111100100000000000011110011000000000001111001100000000000011110011000000000000111100110000000000001111001100000000000011110011000000000000111100110000000000001111001100000000000011110011000000000001111001100000000000011110011000000000000111100110000000000001111001100000000",
  106. [9] = "000011110011000000000000111100110000000000001111001100000000000011110011000000000000111100110000000000001111001100000000000011110011000000000000111100110000000000001111001100000000000011100110000000000001111001100000000000011110011000000000000111100110000000000001111001100000000000111100110000000000001111011000000000000111100110000000000001111001100000000000011110011000000000000111100110000000000001111001100000000000011110011000000000000111100110000000000001111011000000000000111100110000000000001111001100000000000011110011000000000000111100",
  107. },
  108. [19] = {
  109. [7] = "1000000000000111001100000000000011100110000000000001111001100000000000011110011000000000000111100110000000000001111001100000000000011110011000000000000111100110000000000001111001100000000000111100110000000000001111001100000000000011110011000000000000111100110000000000001111001100000",
  110. [8] = "0001111001100000000000111100110000000000011110011000000000000111100110000000000001111001100000000000011110011000000000000111100110000000000001111001100000000000011110011000000000000111001100000000000011110011000000000000111100110000000000001111001100000000000011110011000000000000000",
  111. [9] = "0001111001100000000000111100110000000000011110011000000000000111100110000000000001111001100000000000011110011000000000000111100110000000000001111001100000000000011110011000000000000111001100000000000011110011000000000000111100110000000000001111001100000000000011110011000000000000000",
  112. },
  113. [20] = {
  114. [7] = "0110000000000001111001100000000000011110011000000000000111100110000000000001111001100000000000011110011000000000000111100110000000000001111001100000000000011110011000000000000111100110000000000001111001100000000000011110011000000000000111100110000000000001111001100000000000011110010000000000111001000000000000111100110000000000001111001100000000000111100110000000000001111001000000000",
  115. [8] = "0110000000000001111001100000000000011110011000000000000111100110000000000001111001100000000000011110011000000000000111100110000000000001111001100000000000011110011000000000000111100110000000000001111001100000000000011110011000000000000111100110000000000001111001100000000000011110010000000000111001000000000000111100110000000000001111001100000000000111100110000000000001111001000000000",
  116. [9] = "0110000000000001111001100000000000011110011000000000000111100110000000000001111001100000000000011110011000000000000111100110000000000001111001100000000000011110011000000000000111100110000000000001111001100000000000011110011000000000000111100110000000000001111001100000000000011110010000000000111001000000000000111100110000000000001111001100000000000111100110000000000001111001000000000",
  117. },
  118. [21] = {
  119. [7] = "00011001111111111110000000000000000000000001111000011001111111111110000000000000000000000001111000011001111111111110000000000000000000000001111000011001111111111110000000000000000000000001111000011001111111111110000000000000000000000011110000110011111111111100000000000000",
  120. [8] = "00011001111111111110000000000000000000000001111000011001111111111110000000000000000000000001111000011001111111111110000000000000000000000001111000011001111111111110000000000000000000000001111000011001111111111110000000000000000000000011110000110011111111111100000000000000",
  121. [9] = "00000000000000000001111000011001111111111110000000000000000000000001111000011001111111111110000000000000000000000001111000011001111111111110000000000000000000000001111000011001111111111110000000000000000000000001111000011001111111111100000000000000000000000011110000110000",
  122. },
  123. [22] = {
  124. [7] = "00000000000000000111100001100111111111111000000000000000000000000111100001100111111111111000000000000000000000000111000011001111111111110000000000000000000000001110000110011111111111100000000000000000000000011110000110011111111111100000000000000000000000011110000110011111111111100000000000000000000000011110000110011111111111100000000000000000000000011110",
  125. [8] = "00110011111111111000000000000000000000000111100001100111111111111000000000000000000000000111100001100111111111111000000000000000000000001111000011001111111111110000000000000000000000011110000110011111111111100000000000000000000000011110000110011111111111100000000000000000000000011110000110011111111111100000000000000000000000011110000110011111111111100000",
  126. [9] = "00000000000000000111100001100111111111111000000000000000000000000111100001100111111111111000000000000000000000000111000011001111111111110000000000000000000000001110000110011111111111100000000000000000000000011110000110011111111111100000000000000000000000011110000110011111111111100000000000000000000000011110000110011111111111100000000000000000000000011110",
  127. },
  128. [23] = {
  129. [7] = "00011001111111111110000000000000000000000111100001100111111111110000000000000000000000001111000011001111111111100000000000000000000001111000011001111111111110000000000000000000000001111000010011111111111100000000000000000000000011110000110011111111111100000000000000000000000011110000110011111111111100000000000000000000000011110000110011111111111100000000000000000000000011110000110011111111111100000000000000000000000111100",
  130. [8] = "00000000000000000001110000110011111111111000000000000000000000001111000011001111111111110000000000000000000000011110001101111111111110000000000000000000000001111000011001111111111110000000000000000000000011110000110011111111111100000000000000000000000011110000110011111111111100000000000000000000000011110000110011111111111100000000000000000000000011110000110011111111111100000000000000000000000011100001100111111111111000000",
  131. [9] = "00000000000000000001110000110011111111111000000000000000000000001111000011001111111111110000000000000000000000011110001101111111111110000000000000000000000001111000011001111111111110000000000000000000000011110000110011111111111100000000000000000000000011110000110011111111111100000000000000000000000011110000110011111111111100000000000000000000000011110000110011111111111100000000000000000000000011100001100111111111111000000",
  132. },
  133. [24] = {
  134. [7] = "0011001111111111000000000000000000000000111100001100111111111111000000000000000000000000111100011001111111111110000000000000000000000001111000011001111111111110000000000000000000000001111000011001111111111110000000000000000000000001111000011001111111111110000000000000000000000001111000011001111111111110000000000000000000000001111000011001111111111100000000000000000000000011110000110011111111111100000000000000000000000111100001100111111111111000000000000000000000000111100001100111111111111000000000000000000000000111100001100111111111111000000000000000000000000111100001100111111111111000000000000000000000000111100001100111111111111000000000000000000000000111100001100111111111111000000000000000000000000111100001100111111111111000000000",
  135. [8] = "0011001111111111000000000000000000000000111100001100111111111111000000000000000000000000111100011001111111111110000000000000000000000001111000011001111111111110000000000000000000000001111000011001111111111110000000000000000000000001111000011001111111111110000000000000000000000001111000011001111111111110000000000000000000000001111000011001111111111100000000000000000000000011110000110011111111111100000000000000000000000111100001100111111111111000000000000000000000000111100001100111111111111000000000000000000000000111100001100111111111111000000000000000000000000111100001100111111111111000000000000000000000000111100001100111111111111000000000000000000000000111100001100111111111111000000000000000000000000111100001100111111111111000000000",
  136. [9] = "0011001111111111000000000000000000000000111100001100111111111111000000000000000000000000111100011001111111111110000000000000000000000001111000011001111111111110000000000000000000000001111000011001111111111110000000000000000000000001111000011001111111111110000000000000000000000001111000011001111111111110000000000000000000000001111000011001111111111100000000000000000000000011110000110011111111111100000000000000000000000111100001100111111111111000000000000000000000000111100001100111111111111000000000000000000000000111100001100111111111111000000000000000000000000111100001100111111111111000000000000000000000000111100001100111111111111000000000000000000000000111100001100111111111111000000000000000000000000111100001100111111111111000000000",
  137. },
  138. [25] = {
  139. [7] = "011110011110011110011110000000000000000000000000000000011110011110011110011110111100000000000000000000000000000000111100111100111100111100111100000000000000000000000000000000111100111100111100111100111100000000000000000000000000000000111100111100111100111100111100000000000000000000000000000000111100111100111100111100111100000000000000000000000000000000111100111110011110011110011110000000000000000000000000000000011110011110011110011110011110000000000000000000",
  140. [8] = "011110011110011110011110000000000000000000000000000000011110011110011110011110111100000000000000000000000000000000111100111100111100111100111100000000000000000000000000000000111100111100111100111100111100000000000000000000000000000000111100111100111100111100111100000000000000000000000000000000111100111100111100111100111100000000000000000000000000000000111100111110011110011110011110000000000000000000000000000000011110011110011110011110011110000000000000000000",
  141. [9] = "000000000000000000000000011110011110011110011110011110000000000000000000000000000000111100111100111100111100111100000000000000000000000000000000111100111100111100111100111100000000000000000000000000000000111100111100111100111100111100000000000000000000000000000000111100111100111100111100111100000000000000000000000000000000111100111100111100111100111100000000000000000000000000000000011110011110011110011110011110000000000000000000000000000000011110011110011110",
  142. },
  143. [26] = {
  144. [7] = "0000000000000000000000000111100111100111101111001111000000000000000000000000000000001111001111001111001111001111000000000000000000000000000000000111100111100111100111100111100000000000000000000000000000000111100111100111100111100111100000000000000000000000000000000111100111100111100111100111100000000000000000000000000000000111100111100000",
  145. [8] = "0111100111100111100111100000000000000000000000000000001111001111001111001111001111000000000000000000000000000000001111001111001111001111100111100000000000000000000000000000000111100111100111100111100111100000000000000000000000000000000111100111100111100111100111100000000000000000000000000000000111100111100111100111100111100000000000000000",
  146. [9] = "0000000000000000000000000111100111100111101111001111000000000000000000000000000000001111001111001111001111001111000000000000000000000000000000000111100111100111100111100111100000000000000000000000000000000111100111100111100111100111100000000000000000000000000000000111100111100111100111100111100000000000000000000000000000000111100111100000",
  147. },
  148. [27] = {
  149. [7] = "01111001111001111001111000000000000000000000000000000011110011110011110011110011110000000000000000000000000000000111100111100111100111100111100000000000000000000000000000000111100111100111100111100111100000000000000000000000000000000111100111100111100111100111100000000000000000000000000000000111100111100111100111100111100000000000000000000000000000000111100111100111100111100111100000000000000000000000000000000011110011110011110011110000",
  150. [8] = "00000000000000000000000001111001111001110011110011110000000000000000000000000000000011110111100111100111100111100000000000000000000000000000000111100111100111100111100111100000000000000000000000000000000111100111100111100111100111100000000000000000000000000000000111100111100111100111100111100000000000000000000000000000000111100111100111100111100111100000000000000000000000000000000111100111100011110011110011110000000000000000000000000000",
  151. [9] = "00000000000000000000000001111001111001110011110011110000000000000000000000000000000011110111100111100111100111100000000000000000000000000000000111100111100111100111100111100000000000000000000000000000000111100111100111100111100111100000000000000000000000000000000111100111100111100111100111100000000000000000000000000000000111100111100111100111100111100000000000000000000000000000000111100111100011110011110011110000000000000000000000000000",
  152. },
  153. [28] = {
  154. [7] = "001111001111001111001111000000000000000000000000000000001111001111001111001111001111000000000000000000000000000000011110011110011110011110011110000000000000000000000000000000011110011110011110011110011110000000000000000000000000000000011110011110011110011110011110000000000000000000000000000000011110011110011110011110011110000000000000000000000000000000111100111100111111",
  155. [8] = "001111001111001111001111000000000000000000000000000000001111001111001111001111001111000000000000000000000000000000011110011110011110011110011110000000000000000000000000000000011110011110011110011110011110000000000000000000000000000000011110011110011110011110011110000000000000000000000000000000011110011110011110011110011110000000000000000000000000000000111100111100111111",
  156. [9] = "001111001111001111001111000000000000000000000000000000001111001111001111001111001111000000000000000000000000000000011110011110011110011110011110000000000000000000000000000000011110011110011110011110011110000000000000000000000000000000011110011110011110011110011110000000000000000000000000000000011110011110011110011110011110000000000000000000000000000000111100111100111111",
  157. },
  158. [29] = {
  159. [7] = "111111000011110000000000000000000000001111111111000011110000000000000000000000001111111111000011110000000000000000000000001111111111000011110000000000000000000000001111111111000011110000000000000000000000001111111111000011110000000000000000000000001111111111000011110000000000000000000000001111111111000011110000000000000000000000",
  160. [8] = "111111000011110000000000000000000000001111111111000011110000000000000000000000001111111111000011110000000000000000000000001111111111000011110000000000000000000000001111111111000011110000000000000000000000001111111111000011110000000000000000000000001111111111000011110000000000000000000000001111111111000011110000000000000000000000",
  161. [9] = "111111000000001111111111000011111111110000000000000000001111111111000011111111110000000000000000001111111111000011111111110000000000000000001111111111000011111111110000000000000000001111111111000011111111110000000000000000001111111111000011111111110000000000000000001111111111000011111111110000000000000000001111111111000011111111",
  162. },
  163. [30] = {
  164. [7] = "00000000000011111111110001111111111000000000000000000111111111100001111111111000000000000000001111111111000011111111110000000000000000001111111111000011111111110000000000000000001111111111000011111111110000000000000000001111111111000011111111110000000000000000001111111111000011111111110000000000000000001111",
  165. [8] = "00000000111100000000000000000000000111111111100001111000000000000000000000000111111111000011110000000000000000000000001111111111000011110000000000000000000000001111111111000011110000000000000000000000001111111111000011110000000000000000000000001111111111000011110000000000000000000000001111111111000011110000",
  166. [9] = "11110000000011111111110001111111111000000000000000000111111111100001111111111000000000000000001111111111000011111111110000000000000000001111111111000011111111110000000000000000001111111111000011111111110000000000000000001111111111000011111111110000000000000000001111111111000011111111110000000000000000001111",
  167. },
  168. [31] = {
  169. [7] = "111111000011110000000000000000000000001111111111000011110000000000000000000000001111111111000011110000000000000000000000001111111111000011110000000000000000000000001111111111000011110000000000000000000000001111111",
  170. [8] = "000000000000001111111111000011111111110000000000000000001111111111000011111111110000000000000000001111111111000011111111110000000000000000001111111111000011111111110000000000000000001111111111000011111111110000000",
  171. [9] = "111111000000001111111111000011111111110000000000000000001111111111000011111111110000000000000000001111111111000011111111110000000000000000001111111111000011111111110000000000000000001111111111000011111111110000000",
  172. },
  173. [32] = {
  174. [7] = "111110000111100000000000000000000000011111111110000111100000000000000000000000011111111110000111100000000000000000000000011111111110000111100000000000000000000000011111111110000111100000000000000000000000011111111110000111100000000000000000000000011111111110000111100000000000000000000000011111111110000111100000000000000000000000011111111110000111100000000",
  175. [8] = "000000000111100000000000000000000000011111111110000111100000000000000000000000011111111110000111100000000000000000000000011111111110000111100000000000000000000000011111111110000111100000000000000000000000011111111110000111100000000000000000000000011111111110000111100000000000000000000000011111111110000111100000000000000000000000011111111110000111100000000",
  176. [9] = "000000000111100000000000000000000000011111111110000111100000000000000000000000011111111110000111100000000000000000000000011111111110000111100000000000000000000000011111111110000111100000000000000000000000011111111110000111100000000000000000000000011111111110000111100000000000000000000000011111111110000111100000000000000000000000011111111110000111100000000",
  177. },
  178. [33] = {
  179. [7] = "00000000000000000000000000000000001111111111111111111100000000000000000000111111111111111111110000000000000000000011111111111111111111000000000000000000001111111111111111111100000000000000000000111111111111111111110000000000000000000011111111111111111111000000000000000000011111111111111111111",
  180. [8] = "00000000000000000000000000000000001111111111111111111100000000000000000000111111111111111111110000000000000000000011111111111111111111000000000000000000001111111111111111111100000000000000000000111111111111111111110000000000000000000011111111111111111111000000000000000000011111111111111111111",
  181. [9] = "00000000000000111111111111111111110000000000000000000011111111111111111111000000000000000000001111111111111111111100000000000000000000111111111111111111110000000000000000000011111111111111111111000000000000000000001111111111111111111100000000000000000000111111111111111111100000000000000000000",
  182. },
  183. [34] = {
  184. [7] = "1111111111111111111111111111111111110000000000000000000011111111111111111111000000000000000000001111111111111111111100000000000000000000111111111111111111110000000000000000000011111111111111111111000000000000000000001111111111111111111100000000000000000000111111111111111111110000000000000000000011111111111111111111000000000000000000001111111111111111111100000000000000000001111111111111111111100000000000000000000111111111111111",
  185. [8] = "1111111111111111000000000000000000001111111111111111111100000000000000000000111111111111111111110000000000000000000011111111111111111111000000000000000000001111111111111111111100000000000000000000111111111111111111110000000000000000000011111111111111111111000000000000000000001111111111111111111100000000000000000000111111111111111111110000000000000000000011111111111111111110000000000000000000011111111111111111111000000000000000",
  186. [9] = "0000000000000000111111111111111111110000000000000000000011111111111111111111000000000000000000001111111111111111111100000000000000000000111111111111111111110000000000000000000011111111111111111111000000000000000000001111111111111111111100000000000000000000111111111111111111110000000000000000000011111111111111111111000000000000000000001111111111111111111100000000000000000001111111111111111111100000000000000000000111111111111111",
  187. },
  188. [35] = {
  189. [7] = "11111111111111100000000000000000001111111111111111111100000000000000000000111111111111111111100000000000000000000111111111111111111110000000000000000000111111111111111111110000000000000000000111111111111111111110000000000000000000011111",
  190. [8] = "00000000000000011111111111111111110000000000000000000011111111111111111111000000000000000000011111111111111111111000000000000000000001111111111111111111000000000000000000001111111111111111111000000000000000000001111111111111111111100000",
  191. [9] = "11111111111111111111111111111111110000000000000000000011111111111111111111000000000000000000011111111111111111111000000000000000000001111111111111111111000000000000000000001111111111111111111000000000000000000001111111111111111111100000",
  192. },
  193. [36] = {
  194. [7] = "111111111111110000000000000000000011111111111111111111000000000000000000001111111111111111110000000000000000011111111111111110000000000000000000111111111111111111100000000000000000000111111111111111111110000000000000000000011111111111111111111000000000000000000001111111111111111111100000000000000000011111111111111111110000000000000000000111111111111111111100000000000000",
  195. [8] = "000000000000000000000000000000000011111111111111111111000000000000000000001111111111111111110000000000000000011111111111111110000000000000000000111111111111111111100000000000000000000111111111111111111110000000000000000000011111111111111111111000000000000000000001111111111111111111100000000000000000011111111111111111110000000000000000000111111111111111111100000000000000",
  196. [9] = "000000000000000000000000000000000011111111111111111111000000000000000000001111111111111111110000000000000000011111111111111110000000000000000000111111111111111111100000000000000000000111111111111111111110000000000000000000011111111111111111111000000000000000000001111111111111111111100000000000000000011111111111111111110000000000000000000111111111111111111100000000000000",
  197. },
  198. [37] = {
  199. [7] = "000000000000000111111111100000000001111111110000000001111111111000000001111111110000000000111111111100000000001111111111000000000011111111110000000001111111111000000000011111111110000000000111111111000000000011111111110000000000111111111100000000001111111111000000000011111111110000",
  200. [8] = "000000000000000111111111100000000001111111110000000001111111111000000001111111110000000000111111111100000000001111111111000000000011111111110000000001111111111000000000011111111110000000000111111111000000000011111111110000000000111111111100000000001111111111000000000011111111110000",
  201. [9] = "000001111111111000000000011111111110000000001111111110000000000111111110000000001111111111000000000011111111110000000000111111111100000000001111111110000000000111111111100000000001111111111000000000111111111100000000001111111111000000000011111111110000000000111111111100000000001111",
  202. },
  203. [38] = {
  204. [7] = "0000001111111111000000000011111111110000000000111111111100000000001111111110000000000111111111100000000001111111110000000000111111111100000000001111111111000000000011111111110000000000111111111100000000001111111111000000000011111111110000000000111111111100000000011111111110000000000111111111100000000001111111110000000000111111111100000000001111111111000000000111111111100000000001111111111000000000011111",
  205. [8] = "0000000000000000111111111100000000001111111111000000000011111111110000000001111111111000000000011111111110000000001111111111000000000011111111110000000000111111111100000000001111111111000000000011111111110000000000111111111100000000001111111111000000000011111111100000000001111111111000000000011111111110000000001111111111000000000011111111110000000000111111111000000000011111111110000000000111111111100000",
  206. [9] = "1111111111111111000000000011111111110000000000111111111100000000001111111110000000000111111111100000000001111111110000000000111111111100000000001111111111000000000011111111110000000000111111111100000000001111111111000000000011111111110000000000111111111100000000011111111110000000000111111111100000000001111111110000000000111111111100000000001111111111000000000111111111100000000001111111111000000000011111",
  207. },
  208. [39] = {
  209. [7] = "11110000000011111111110000000000111111111100000000011111111110000000000111111111100000000001111111111000000000011111111110000000000111111111100000000001111111110000000011111111100000000001111111111000000000011111111110000000001111111111000000000011111111110000000001111111111000000000011111111110000",
  210. [8] = "00001111111100000000001111111111000000000011111111100000000001111111111000000000011111111110000000000111111111100000000001111111111000000000011111111110000000001111111100000000011111111110000000000111111111100000000001111111110000000000111111111100000000001111111110000000000111111111100000000001111",
  211. [9] = "11111111111100000000001111111111000000000011111111100000000001111111111000000000011111111110000000000111111111100000000001111111111000000000011111111110000000001111111100000000011111111110000000000111111111100000000001111111110000000000111111111100000000001111111110000000000111111111100000000001111",
  212. },
  213. [40] = {
  214. [7] = "000000000000001111111111000000000111111110000000011111110000000001111111110000000011111111100000000011111111000000001111111110000000001111111111000000000011111111110000000001111111111000000000011111111100000000011111111100000000011111111110000000000111111111000000000011111111110000000001111111111000000000",
  215. [8] = "111110000000001111111111000000000111111110000000011111110000000001111111110000000011111111100000000011111111000000001111111110000000001111111111000000000011111111110000000001111111111000000000011111111100000000011111111100000000011111111110000000000111111111000000000011111111110000000001111111111000000000",
  216. [9] = "111110000000001111111111000000000111111110000000011111110000000001111111110000000011111111100000000011111111000000001111111110000000001111111111000000000011111111110000000001111111111000000000011111111100000000011111111100000000011111111110000000000111111111000000000011111111110000000001111111111000000000",
  217. },
  218. [41] = {
  219. [7] = "000000111110000001111110000011111000000111111000000111111000000111110000001111110000001111110000011111000000111110000001111110000001111100000011111100000011111100000011111100000011111100000011111100000011111000000000",
  220. [8] = "000000111110000001111110000011111000000111111000000111111000000111110000001111110000001111110000011111000000111110000001111110000001111100000011111100000011111100000011111100000011111100000011111100000011111000000000",
  221. [9] = "011111000001111110000001111100000111111000000111111000000111111000001111110000001111110000001111100000111111000001111110000001111110000011111100000011111100000011111100000011111100000011111100000011111100000111111111",
  222. },
  223. [42] = {
  224. [7] = "1111110000011111100000011111100000011111100000011111100000111111000000111111000000111110000001111100000011111100000011111100000011111100000011111100000011111100000011111100000011111100000011111100000011111000000111111000000111111000000111111000000111110000001111110000001111110000001111110000001111110000001111110000001111110000011111100000111111000000111111000000111111000000111111000000000",
  225. [8] = "0000001111100000011111100000011111100000011111100000011111000000111111000000111111000001111110000011111100000011111100000011111100000011111100000011111100000011111100000011111100000011111100000011111100000111111000000111111000000111111000000111111000001111110000001111110000001111110000001111110000001111110000001111110000001111100000011111000000111111000000111111000000111111000000111111111",
  226. [9] = "1111110000011111100000011111100000011111100000011111100000111111000000111111000000111110000001111100000011111100000011111100000011111100000011111100000011111100000011111100000011111100000011111100000011111000000111111000000111111000000111111000000111110000001111110000001111110000001111110000001111110000001111110000001111110000011111100000111111000000111111000000111111000000111111000000000",
  227. },
  228. [43] = {
  229. [7] = "00000011111100000011111100000011111100000111111000001111100000011111100000011111100000011111100000011111000001111110000001111100000011111000000111111000000111111",
  230. [8] = "11111100000011111100000011111100000011111000000111110000011111100000011111100000011111100000011111100000111110000001111110000011111100000111111000000111111000000",
  231. [9] = "01111100000011111100000011111100000011111000000111110000011111100000011111100000011111100000011111100000111110000001111110000011111100000111111000000111111000000",
  232. },
  233. [44] = {
  234. [7] = "11000011111100000011111100000011111100000111111000001111110000001111110000001111110000001111110000001111110000011111000000111111000000111110000001111000000111111000000111111000000111111000001111100000111111000000111111000000000",
  235. [8] = "00000011111100000011111100000011111100000111111000001111110000001111110000001111110000001111110000001111110000011111000000111111000000111110000001111000000111111000000111111000000111111000001111100000111111000000111111000000000",
  236. [9] = "00000011111100000011111100000011111100000111111000001111110000001111110000001111110000001111110000001111110000011111000000111111000000111110000001111000000111111000000111111000000111111000001111100000111111000000111111000000000",
  237. },
  238. [45] = {
  239. [7] = "01111011011100000000000000000000000001111001111001111001111000000000000000000000000011110011110011110011000000000000000000000000111001111001111001111000000000000",
  240. [8] = "01111011011100000000000000000000000001111001111001111001111000000000000000000000000011110011110011110011000000000000000000000000111001111001111001111000000000000",
  241. [9] = "00000000000000111101111001111001111000000000000000000000000001111001111001111011110000000000000000000000001111001100111100111100000000000000000000000001111001111",
  242. },
  243. [46] = {
  244. [7] = "0000000000000000000011110011110111001110000000000000000000000000111100111100111100111100000000000000000000000000111100111100111100111100000000000000000000000000111100111100111100111100000000000000000000011111111111111111110000000000000000000011111111111111000000000000001111111111111111111111111111111111111111111111111111111111100000000000000000000000111101111001110011110000000000000000000000000111101110011110011110000000000000000000000001110011100111100111100000000000000000000000111001111001110011110000000000000000000001111111111111111111000000000000000001111111111111111100000000000000000001111111111111111111111111111111111111111111111",
  245. [8] = "0011110011110011110000000000000000000000011110011110011110011110000000000000000000000000111100111100111100111100000000000000000000000000111100111100111100111100000000000000000000000000111111111111111111100000000000000000001111111111111111111100000000000000111111111111110000000000000000000000000000000000000000000000000000000000011110011110011100111100000000000000000000000011110011110011100111100000000000000000000000111001111001111001111000000000000000000000001111001111001110011110000000000000000000000011111111111111111110000000000000000000111111111111111110000000000000000011111111111111111110000000000000000000000000000000000000000000000",
  246. [9] = "0000000000000000000011110011110111001110000000000000000000000000111100111100111100111100000000000000000000000000111100111100111100111100000000000000000000000000111100111100111100111100000000000000000000011111111111111111110000000000000000000011111111111111000000000000001111111111111111111111111111111111111111111111111111111111100000000000000000000000111101111001110011110000000000000000000000000111101110011110011110000000000000000000000001110011100111100111100000000000000000000000111001111001110011110000000000000000000001111111111111111111000000000000000001111111111111111100000000000000000001111111111111111111111111111111111111111111111",
  247. },
  248. [47] = {
  249. [7] = "1011100111100111100000000000000000000111101111011100111000000000000000000000001111001111001111001111000000000000000000000111011110011110110000000000000000000000000011111111111111111110000000000000000000011111111111111111111000000000000000000011111111111111111111000000000000000000000000000000000000000000000000000000000111100111101111011100000000000000000000000111100111001110011100000000000000000000000111100111100111100111100000000000000000000000001111001110011100111100000000000000000000000000111111111111111111110000000000000000011111111111111111110000000000000000000011111111111111111100000000000000000000000000000000000000000000000011100111101100111000000000000000000",
  250. [8] = "0000000000000000000111001110011101110000000000000000000001110111100111100111000000000000000000000000011100111001110011110000000000000000000011110011110011110011110000000000000000000001111111111111111111100000000000000000000111111111111111111100000000000000000000111111111111111111111111111111111111111111111111111111111000000000000000000000111100111011110011110000000000000000000000111100111101110011100000000000000000000000000111100111101111001111000000000000000000000000111100111100111100111100000000000000000000001111111111111111100000000000000000001111111111111111111100000000000000000011111111111111111111111111111111111111111111111100000000000000000011110011100111111",
  251. [9] = "1000000000000000000111001110011101110000000000000000000001110111100111100111000000000000000000000000011100111001110011110000000000000000000011110011110011110011110000000000000000000001111111111111111111100000000000000000000111111111111111111100000000000000000000111111111111111111111111111111111111111111111111111111111000000000000000000000111100111011110011110000000000000000000000111100111101110011100000000000000000000000000111100111101111001111000000000000000000000000111100111100111100111100000000000000000000001111111111111111100000000000000000001111111111111111111100000000000000000011111111111111111111111111111111111111111111111100000000000000000011110011100111111",
  252. },
  253. [48] = {
  254. [7] = "001110011011100000000000000000000001111001111001111001111000000000000000000000000011110011110011110011110000000000000000000000011001111001110011100000000000000000000000011111111111111111110000000000000000111111111111111110000000000000000000011111111111111111100000000000000000000000000000000000000000000000000000011100111100111100111100000000000000000000000000111001110111100111000000000000000000000001110011110011110011110000000000000000000011110011110011110111100000000000000000000001111111111111111100000000000000000000111111111111111000000000000000000111111111111110000000000000000000000000",
  255. [8] = "001110011011100000000000000000000001111001111001111001111000000000000000000000000011110011110011110011110000000000000000000000011001111001110011100000000000000000000000011111111111111111110000000000000000111111111111111110000000000000000000011111111111111111100000000000000000000000000000000000000000000000000000011100111100111100111100000000000000000000000000111001110111100111000000000000000000000001110011110011110011110000000000000000000011110011110011110111100000000000000000000001111111111111111100000000000000000000111111111111111000000000000000000111111111111110000000000000000000000000",
  256. [9] = "001110011011100000000000000000000001111001111001111001111000000000000000000000000011110011110011110011110000000000000000000000011001111001110011100000000000000000000000011111111111111111110000000000000000111111111111111110000000000000000000011111111111111111100000000000000000000000000000000000000000000000000000011100111100111100111100000000000000000000000000111001110111100111000000000000000000000001110011110011110011110000000000000000000011110011110011110111100000000000000000000001111111111111111100000000000000000000111111111111111000000000000000000111111111111110000000000000000000000000",
  257. },
  258. [49] = {
  259. [7] = "0000000000000000000000000000000001111111111111111000000000000000000111111111111111100000000000000001111111111110000000000001111111111000000000001111111100000000011111110000001111110000011110000101100111100001111110000011111111000000001111111111000000000011111111111000000000001111111111110000000000011111111111111110000000000000011111111111111111100000000000000011111111111111111110000000000000000000011111111111111111000000000000000001111111111111111000000000000000011111111111100000000000001111111111110000000000001111111111000000000011111110000001111110001111000010011011110000111111000000111111110000000111111111000000000111111111111",
  260. [8] = "0000000000000000000000000000000001111111111111111000000000000000000111111111111111100000000000000001111111111110000000000001111111111000000000001111111100000000011111110000001111110000011110000101100111100001111110000011111111000000001111111111000000000011111111111000000000001111111111110000000000011111111111111110000000000000011111111111111111100000000000000011111111111111111110000000000000000000011111111111111111000000000000000001111111111111111000000000000000011111111111100000000000001111111111110000000000001111111111000000000011111110000001111110001111000010011011110000111111000000111111110000000111111111000000000111111111111",
  261. [9] = "0000000000000011111111111111111110000000000000000111111111111111111000000000000000011111111111111110000000000001111111111110000000000111111111110000000011111111100000001111110000001111100001111010011000011110000001111100000000111111110000000000111111111100000000000111111111110000000000001111111111100000000000000001111111111111100000000000000000011111111111111100000000000000000001111111111111111111100000000000000000111111111111111110000000000000000111111111111111100000000000011111111111110000000000001111111111110000000000111111111100000001111110000001110000111101100100001111000000111111000000001111111000000000111111111000000000000",
  262. },
  263. [50] = {
  264. [7] = "11111111111111111111111110000000000000001111111111111111100000000000000001111111111111111000000000001111111111111100000000000111111111111000000000011111111110000000011111111000001111110000111100100110000111100000011111100000001111111000000000011111111110000000000001111111111110000000000000111111111111000000000000000111111111111111111",
  265. [8] = "11111111110000000000000001111111111111110000000000000000011111111111111110000000000000000111111111110000000000000011111111111000000000000111111111100000000001111111100000000111110000001111000011011001111000011111100000011111110000000111111111100000000001111111111110000000000001111111111111000000000000111111111111111000000000000000000",
  266. [9] = "00000000001111111111111110000000000000001111111111111111100000000000000001111111111111111000000000001111111111111100000000000111111111111000000000011111111110000000011111111000001111110000111100100110000111100000011111100000001111111000000000011111111110000000000001111111111110000000000000111111111111000000000000000111111111111111111",
  267. },
  268. [51] = {
  269. [7] = "1111111111100000000000000000001111111111111111110000000000000000111111111111111000000000000000011111111111111000000000000011111111111000000000000111111111100000000001111111100000000111111000000111100001100110011110000111110000001111111000000001111111111000000000011111111111100000000000011111111111111",
  270. [8] = "0000000000011111111111111111110000000000000000001111111111111111000000000000000111111111111111100000000000000111111111111100000000000111111111111000000000011111111110000000011111111000000111111000011110011001100001111000001111110000000111111110000000000111111111100000000000011111111111100000000000000",
  271. [9] = "1111111111111111111111111111110000000000000000001111111111111111000000000000000111111111111111100000000000000111111111111100000000000111111111111000000000011111111110000000011111111000000111111000011110011001100001111000001111110000000111111110000000000111111111100000000000011111111111100000000000000",
  272. },
  273. [52] = {
  274. [7] = "111111111111110000000000000000111111111111111111000000000000000001111111111111111000000000000000011111111111111000000000000001111111111110000000000001111111110000000111111110000000011111100000111100001100110011110000111111000001111111100000000111111111100000000011111111111000000000000111111111111000000000000001111111111111110000000000000001111111111111111110000000000000000011111111111111111110000000000000000000111111111111111111000000000000000000111111111111110000000000000011111111111110000000000111111111111000000000001111111111000000000011111111000000011110000001100011001100111100001111110000001111111100000001111111111000000000111111111000000000000111111111111111",
  275. [8] = "000000000000000000000000000000111111111111111111000000000000000001111111111111111000000000000000011111111111111000000000000001111111111110000000000001111111110000000111111110000000011111100000111100001100110011110000111111000001111111100000000111111111100000000011111111111000000000000111111111111000000000000001111111111111110000000000000001111111111111111110000000000000000011111111111111111110000000000000000000111111111111111111000000000000000000111111111111110000000000000011111111111110000000000111111111111000000000001111111111000000000011111111000000011110000001100011001100111100001111110000001111111100000001111111111000000000111111111000000000000111111111111111",
  276. [9] = "000000000000000000000000000000111111111111111111000000000000000001111111111111111000000000000000011111111111111000000000000001111111111110000000000001111111110000000111111110000000011111100000111100001100110011110000111111000001111111100000000111111111100000000011111111111000000000000111111111111000000000000001111111111111110000000000000001111111111111111110000000000000000011111111111111111110000000000000000000111111111111111111000000000000000000111111111111110000000000000011111111111110000000000111111111111000000000001111111111000000000011111111000000011110000001100011001100111100001111110000001111111100000001111111111000000000111111111000000000000111111111111111",
  277. },
  278. [53] = {
  279. [7] = "11100000000000011111111000000000000001111111100000000000000001111111000000000000000111111100000000000000111111110000000000000000111111100000000000000111111110000000000000001111111100000000000000111111110000000000001111111000000000000011111110000000000000011111110000000000000001111110000000000000000",
  280. [8] = "11100000000000011111111000000000000001111111100000000000000001111111000000000000000111111100000000000000111111110000000000000000111111100000000000000111111110000000000000001111111100000000000000111111110000000000001111111000000000000011111110000000000000011111110000000000000001111110000000000000000",
  281. [9] = "11100011111000000000000000011111110000000000000001111111100000000000000011111110000000000000011111110000000000000000111111110000000000000011111110000000000000001111111100000000000000001111111000000000000001111110000000000000111111000000000000000111111000000000000000111111100000000000001111111100000",
  282. },
  283. [54] = {
  284. [7] = "000001111000000000011111000000000000011111111000000000001111111000000000000000111111110000000000000001111111000000000000000111111110000000000000011111111000000000000000111111110000000000000011111111000000000000011111111000000000000001111111100000000000000011111111000000000000000111111100000000000000111111000000000000000011111111",
  285. [8] = "000000000000111100000000000111111000000000000000111110000000000000111111110000000000000000111111100000000000000011111110000000000000000111111100000000000000111111110000000000000000111111000000000000000111111000000000000000011111110000000000000001111111000000000000000011111111000000000000001111110000000000000011111111000000000000",
  286. [9] = "000001111000000000011111000000000000011111111000000000001111111000000000000000111111110000000000000001111111000000000000000111111110000000000000011111111000000000000000111111110000000000000011111111000000000000011111111000000000000001111111100000000000000011111111000000000000000111111100000000000000111111000000000000000011111111",
  287. },
  288. [55] = {
  289. [7] = "10000000000000001111111100000000000000011111111000000000000000111111110000000000000001111111100000000000000111111100000000000000001111111100000000000000001111111100000000000000111111110000000000000000000",
  290. [8] = "00000111111100000000000000001111111000000000000000011111110000000000000001111111100000000000000001111111100000000000001111111100000000000000001111111100000000000000011111110000000000000000111111100000000",
  291. [9] = "10000111111100000000000000001111111000000000000000011111110000000000000001111111100000000000000001111111100000000000001111111100000000000000001111111100000000000000011111110000000000000000111111100000000",
  292. },
  293. [56] = {
  294. [7] = "00000000000000111111110000000000000001111111000000000000001111110000000000000000111111110000000000000001111111100000000000000",
  295. [8] = "00000000000000111111110000000000000001111111000000000000001111110000000000000000111111110000000000000001111111100000000000000",
  296. [9] = "00000000000000111111110000000000000001111111000000000000001111110000000000000000111111110000000000000001111111100000000000000",
  297. },
  298. [57] = {
  299. [7] = "0000001000000000000001111111111111100000000000001111111111111111000000000000001111111111111111000000000001111111111111110000000000000011111111111111111100000000000011111111111111111000000000000111111111111110000000000001111111111111111110000000000000111111111111111110000000000000111111111111111100000000000000111111111111111110000000000000000000",
  300. [8] = "0000001000000000000001111111111111100000000000001111111111111111000000000000001111111111111111000000000001111111111111110000000000000011111111111111111100000000000011111111111111111000000000000111111111111110000000000001111111111111111110000000000000111111111111111110000000000000111111111111111100000000000000111111111111111110000000000000000000",
  301. [9] = "0000001111111111111111100000000000111111111111111100000000000011111111111111111000000000000011111111111111100000000000111111111111111111000000000000001111111111111111000000000000011111111111111110000000000111111111111111100000000000000111111111111111110000000000000111111111111111100000000000000111111111111111110000000000000111111111111111111111",
  302. },
  303. [58] = {
  304. [7] = "0000000111111111111111110000000000001111111111111111110000000000000011111111111111110000000000000011111111111111110000000000000111111111111111000000000000111111111111111000000000000111111111111111110000000000001111111111111000000000000111111111111111110000000000000011111111111111110000000000000111111111111",
  305. [8] = "0000000100000000000000111111111111111100000000000000111111111111111111000000000000111111111111111111000000000000111111111111111100000000000011111111111111100000000000011111111111111110000000000000111111111111111100000000001111111111111110000000000000111111111111111111000000000000011111111111111110000000000",
  306. [9] = "1111111111111111111111110000000000001111111111111111110000000000000011111111111111110000000000000011111111111111110000000000000111111111111111000000000000111111111111111000000000000111111111111111110000000000001111111111111000000000000111111111111111110000000000000011111111111111110000000000000111111111111",
  307. },
  308. [59] = {
  309. [7] = "11111100000000000001111111111111111100000000000000111111111111111110000000000011111111111111100000000000000111111111111111110000000000000011111111111111110000000000011111111111111000000000000111111111111111100000000000001111111111111111100000000001111111111111111100000000000000111111111111111",
  310. [8] = "00000111111111111111100000000000001111111111111111110000000000000011111111111110000000000001111111111111111110000000000000111111111111111111000000000000111111111111110000000000011111111111111100000000000001111111111111111100000000000001111111111111000000000000001111111111111111110000000000000",
  311. [9] = "11111111111111111111100000000000001111111111111111110000000000000011111111111110000000000001111111111111111110000000000000111111111111111111000000000000111111111111110000000000011111111111111100000000000001111111111111111100000000000001111111111111000000000000001111111111111111110000000000000",
  312. },
  313. [60] = {
  314. [7] = "1111111111000000000011111111111111111000000000000001111111111111111110000000000001111111111111111110000000000000111111111111111110000000000000011111111111111111000000000001111111111111111100000000000000111111111111111111000000000000011111111",
  315. [8] = "0000000011000000000011111111111111111000000000000001111111111111111110000000000001111111111111111110000000000000111111111111111110000000000000011111111111111111000000000001111111111111111100000000000000111111111111111111000000000000011111111",
  316. [9] = "0000000011000000000011111111111111111000000000000001111111111111111110000000000001111111111111111110000000000000111111111111111110000000000000011111111111111111000000000001111111111111111100000000000000111111111111111111000000000000011111111",
  317. },
  318. [61] = {
  319. [7] = "000011100111100111100000000000000000000000000001111000011110011001111000000000000000000000001110011110011110011110000000000000000000000000001111000011110111100111100000000000000000000000011110001110011110011100000000000000000000000111100001100111100111100000000000000000000000011110000",
  320. [8] = "000011100111100111100000000000000000000000000001111000011110011001111000000000000000000000001110011110011110011110000000000000000000000000001111000011110111100111100000000000000000000000011110001110011110011100000000000000000000000111100001100111100111100000000000000000000000011110000",
  321. [9] = "000000000000000000000111100001111001111001111000000000000000000000000001110001110111001111000000000000000000000000011100001111001111001111000000000000000000000000000111100011110111100111000000000000000000000001100001110011110111100000000000000000000000000111100011110011110110000000000",
  322. },
  323. [62] = {
  324. [7] = "0000000000000000111000111011110011100000000000000000000000001110001110011110110000000000000000000000000011110000111100111100111100000000000000000000",
  325. [8] = "0011001111001110000000000000000000000111000011100111100111000000000000000000000111000011110011110011110000000000000000000000000000111100001110011110",
  326. [9] = "0000000000000000111000111011110011100000000000000000000000001110001110011110110000000000000000000000000011110000111100111100111100000000000000000000",
  327. },
  328. [63] = {
  329. [7] = "001100111101111000000000000000000000111100001111001111001111000000000000000000000000000111100011001111001110000000000000000000000000011110000111100111001111000000000000000000000000111000011110011110011110000000000000000000000000001111000011110011100111000000000000000000000000001111000111100111101111000000000000000000000000000111000011110011110000",
  330. [8] = "000000000000000001100111101111001110000000000000000000000000001111000011110011100111100000000000000000000000011110001110011110011110000000000000000000000000001110001111001110111100000000000000000000000000011110001111001111001111000000000000000000000000001111000011110011100111000000000000000000000000001111000111100111100111100000000000000000000000",
  331. [9] = "000000000000000001100111101111001110000000000000000000000000001111000011110011100111100000000000000000000000011110001110011110011110000000000000000000000000001110001111001110111100000000000000000000000000011110001111001111001111000000000000000000000000001111000011110011100111000000000000000000000000001111000111100111100111100000000000000000000000",
  332. },
  333. [64] = {
  334. [7] = "00011101101110000000000000000000000111001111001111001110000000000000000000000000000111100011110011110011100000000000000000000000111100001111001111001100000000000000000000000000001111000111100111100111100000000000000000000000001111000011100111100111100000000000000",
  335. [8] = "00011101101110000000000000000000000111001111001111001110000000000000000000000000000111100011110011110011100000000000000000000000111100001111001111001100000000000000000000000000001111000111100111100111100000000000000000000000001111000011100111100111100000000000000",
  336. [9] = "00011101101110000000000000000000000111001111001111001110000000000000000000000000000111100011110011110011100000000000000000000000111100001111001111001100000000000000000000000000001111000111100111100111100000000000000000000000001111000011100111100111100000000000000",
  337. },
  338. [65] = {
  339. [7] = "1110111001111000000000000000110111001111011110000000000000000011101111011100111100000000000000001110111100111001110000000000000000001110011110011110011000000000000011100111100111100111100000000000000011100111001110111000000000000001111001110011100110000000000000000000",
  340. [8] = "1110111001111000000000000000110111001111011110000000000000000011101111011100111100000000000000001110111100111001110000000000000000001110011110011110011000000000000011100111100111100111100000000000000011100111001110111000000000000001111001110011100110000000000000000001",
  341. [9] = "0000000001111001110011001111000000000000011110011100111100111100000000000000111100111001111001110000000000000001110011110011110011110000000000000000011001111011011100000000000000000111101110011110011100000000000000111001100111101110000000000000000110011110011100111111",
  342. },
  343. [66] = {
  344. [7] = "0000001100111100111101111000000000000000001111001111001111001111000000000000000001110011110011101110000000000000000011100111001111001111000000000000000111001110011110011110000000000000110011101111001111000000000000000000111100111100000",
  345. [8] = "1011101100000000000000000111100111001111001111000000000000000000111001111001111001110000000000000001111001111001110011100000000000000000110011110011100111000000000000000001111011101110110000000000000000111100111100111100111100000000000",
  346. [9] = "1111111100111100111101111000000000000000001111001111001111001111000000000000000001110011110011101110000000000000000011100111001111001111000000000000000111001110011110011110000000000000110011101111001111000000000000000000111100111100000",
  347. },
  348. [67] = {
  349. [7] = "11011011100000000000000110011101100111100000000000000000011110111100111100111000000000000000011100111101111001111000000000000000111100111101100111100000000000011011100111001100000000000011011100111011110000000000000011101101100110000000000000111011101111001111000000000000101",
  350. [8] = "00000011101110111001111000000000000111100111100111100111100000000000000000111001111001110011100000000000000001111001110011101111000000000000000111100110111011100000000000001101110111011100000000000011110011110011011100000000000110011100111011000000000000001111011110111011000",
  351. [9] = "00000011101110111001111000000000000111100111100111100111100000000000000000111001111001110011100000000000000001111001110011101111000000000000000111100110111011100000000000001101110111011100000000000011110011110011011100000000000110011100111011000000000000001111011110111011000",
  352. },
  353. [68] = {
  354. [7] = "0011111000000000000000001100110111111100000000000000001100110011111110000000000000000110010011111111000000000000000001100110011111100000000000000100110011111111000000000000",
  355. [8] = "0000011110111111110000111111110000111110011111111000111111110000011111100111111110011111110000001111110011111111000011111110000011111011111110001111110000001111110111111001",
  356. [9] = "0000000001001100111111000000000000000001100110011111000000000000000000011001100111100000000000000000001100110011111100000000000000000100101111110000000000000000001010011111",
  357. },
  358. [69] = {
  359. [7] = "00000000000001111111110001111001111111111110000111111111000011100011111111111111000111111111111000111000111111111111000011111111111100000000",
  360. [8] = "11001110111101111111100000000000110000111001110111111110000000000001111000111100110111111111100000000000011000011100111011111111110000000000",
  361. [9] = "11111111000001111111110001111001111111111110000111111111000011100011111111111111000111111111111000111000111111111111000011111111111100000000",
  362. },
  363. [70] = {
  364. [7] = "11100000000000000000000000000000000000000000000011100111000000000000000000000000000000000000000000000001110111100000000000000000000000000000000000000000011011000000000000000000000000000000000000000000011110110000000000000000000000000000000000000000000000000011100111000000000000000000000000000000000000000000000000111100111000000000000000000000011",
  365. [8] = "00000000000000000000000000011110011111100000000000000000000000000000000000000000001110011111100000000000000000000000000000000000000000111101111110000000000000000000000000000000000000111101111110000000000000000000000000000000000000000000011110011110000000000000000000000000000000000000000000000011101111100000000000000000000000000000000000000000011",
  366. [9] = "00000111100111100000000000000000000000000000000000000000011100110000000000000000000000000000000000000000000000000111101100000000000000000000000000000000000000001110111000000000000000000000000000000000000000000011110011110000000000000000000000000000000000000000000000011110011110000000000000000000000000000000000000000000000001110011110000000000011",
  367. },
  368. [71] = {
  369. [7] = "11000000000001111110000000000011111000000000001111000000000000001111110000000000001111100000000000000111100000000001111100000000000000011111000000000000011111100000000000000001111000000000000011111100000000000000",
  370. [8] = "11000000011111111110000000011111111000000001111111000000000011111111110000000000111111100000000000111111100000001111111100000000000111111111000000000111111111100000000000011111111000000000111111111100000000001111",
  371. [9] = "11000000000001111110000000000011111000000000001111000000000000001111110000000000001111100000000000000111100000000001111100000000000000011111000000000000011111100000000000000001111000000000000011111100000000000000",
  372. },
  373. [72] = {
  374. [7] = "0000000111111111111111111111111111110000011110000000000000000000000011111111111111111111111111110000111100000000000000000000000001111111111111111111111111110000011110000000000000000000000000011111111111111111111111111110000001100000000000000000000000000001111111111111111111111111111110000001110000000000000000000000011111111111111111111111111111000000111000000000000000000000000000111111111111111111111111111110000001110000000000000000000000000011111111111111111111111111111100000011110000000000000000000000000011111111111111111111111111111111000001110000000000000000000011111",
  375. [8] = "1111111111111111111110000000000000000000011110000000001111111111111111111111111110000000000000000000111100000000001111111111111111111111111111100000000000000000011110000000000111111111111111111111111111110000000000000000000001100000000000011111111111111111111111111111110000000000000000000001110000000001111111111111111111111111111000000000000000000000111000000000000111111111111111111111111111110000000000000000000001110000000000001111111111111111111111111111100000000000000000000011110000000000111111111111111111111111111111110000000000000000000001110000000011111111111111111",
  376. [9] = "0000000111111111111111111111111111110000011110000000000000000000000011111111111111111111111111110000111100000000000000000000000001111111111111111111111111110000011110000000000000000000000000011111111111111111111111111110000001100000000000000000000000000001111111111111111111111111111110000001110000000000000000000000011111111111111111111111111111000000111000000000000000000000000000111111111111111111111111111110000001110000000000000000000000000011111111111111111111111111111100000011110000000000000000000000000011111111111111111111111111111111000001110000000000000000000011111",
  377. },
  378. [73] = {
  379. [7] = "1111111111100000000001111111100000000000111111100000000001111111100000000000111111111100000000011111111100000000000111111111100000000001111111111000000000001111111100000000000111111111111111110",
  380. [8] = "1111100000000000011111100000000000111111111000000000111111111000000000111111111100000000000011111110000000000111111111100000000000011111111000000000001111111111000000000011111111100000111100000",
  381. [9] = "1111111111100000000001111111100000000000111111100000000001111111100000000000111111111100000000011111111100000000000111111111100000000001111111111000000000001111111100000000000111111111111111110",
  382. },
  383. [74] = {
  384. [7] = "0011111100000001111111000000111000000000000001111111000001111111000000111000000000000001111110000000111111000001110000000000000111111000000011111111000000001110000000000111111100000001111000000111100000000000000011111100000001111111000000001111000000000000000111111100000000111111",
  385. [8] = "0011110000000000001111110000111000000000011111110000000000000111111100111000000000011111111000000000000111111001110000000001111110000000000000001111111100001110000000111111000000000000011110000111100000000000011111100000000000001111111100001111000000000001111111100000000000000000",
  386. [9] = "0011111100000001111111000000111000000000000001111111000001111111000000111000000000000001111110000000111111000001110000000000000111111000000011111111000000001110000000000111111100000001111000000111100000000000000011111100000001111111000000001111000000000000000111111100000000111111",
  387. },
  388. [75] = {
  389. [7] = "1111111111111111111100000000000001111111111111110000000000000001111111111111111000000000000000111111111111111111000000000000000111111111111111111100000000000000011111111111111111111000000000000000011111111111111111000000000000000111",
  390. [8] = "0000001000000000000111111111111111000000000000111111111111111111000000000000011111111111111111110000000000000011111111111111111110000000000000000111111111111111111000000000000000011111111111111111110000000000000011111111111111111111",
  391. [9] = "1111111111111111111100000000000001111111111111110000000000000001111111111111111000000000000000111111111111111111000000000000000111111111111111111100000000000000011111111111111111111000000000000000011111111111111111000000000000000111",
  392. },
  393. [76] = {
  394. [7] = "1111111110000111111100000000000000000000111000111110000000000000000000000111100001111110000000000000000000001111000111111110000000000000000000111100011111111000000000000000000111000111110000000000000000000001111001111100000000000000000011110001111111000000000000000000011000111111110000",
  395. [8] = "1111111110000111111100000000011111111111111000111110000000000111111111111111100001111110000000000111111111111111000111111110000000011111111111111100011111111000000000011111111111000111110000000000011111111111111001111100000000111111111111110001111111000000000011111111111000111111110000",
  396. [9] = "1111111110000111111100000000000000000000111000111110000000000000000000000111100001111110000000000000000000001111000111111110000000000000000000111100011111111000000000000000000111000111110000000000000000000001111001111100000000000000000011110001111111000000000000000000011000111111110000",
  397. },
  398. [77] = {
  399. [7] = "1111100011110000000100110000011100110110111111100000111111000111111000000110011000000011111000111100111100111111111100000000001111111000111111100000000110011000000111110000111001111001111111111000000011111110001111110000000101000000",
  400. [8] = "1100000000111111000000000011011100110110111111100011111000000000111111100000000001110011111000111100111100111111111100000011111110000000000011111110000000000001110111110000111001111001111111111000011111110000000001111110000000001111",
  401. [9] = "1111100011110000000100110000011100110110111111100000111111000111111000000110011000000011111000111100111100111111111100000000001111111000111111100000000110011000000111110000111001111001111111111000000011111110001111110000000101000000",
  402. },
  403. [78] = {
  404. [7] = "000001111000000000011111111111111100000000011111111111110000000000111111111111111000000000111111111111111110000000001111111111111111100000000111111111111111000000000111111111111100000000011111111111000000111111111100000111111111100000001111111111000000",
  405. [8] = "111111111111111111111100000000011111111111111100000001111111111111110000000000111111111111111100000000011111111111111111000000000111111111111111000000000111111111111111000000011111111111111100000111111111111000001111111110000001111111111100000011111111",
  406. [9] = "000001111000000000011111111111111100000000011111111111110000000000111111111111111000000000111111111111111110000000001111111111111111100000000111111111111111000000000111111111111100000000011111111111000000111111111100000111111111100000001111111111000000",
  407. },
  408. [79] = {
  409. [7] = "000000000000000000000000000011111111111111111111000000000000000001111111111111111111100000000000000000000011111111111111111110000000000000000001111111111111111111100000000000000000000011111111111111000000000000000111111111111111000000000000000011111111111111110000000000000001111101",
  410. [8] = "111000000001111000000000000000011111000000000000011111100000000000001111100000000000000111110000000000000000001111100000000000011111100000000000001111100000000000000111111000000000000000011111000000001111000000000000111100000000011110000000000000011110000000000111000000000000000111",
  411. [9] = "000000000111111111111111111100000000000000000000111111111111111110000000000000000000011111111111111111111100000000000000000001111111111111111110000000000000000000011111111111111111111100000000000000111111111111111000000000000000111111111111111100000000000000001111111111111110000000",
  412. },
  413. [80] = {
  414. [7] = "0000000001010000000000101000000000001101100000000000000010010000000000000001100100000000000001001100000000000001010000000000000000010100000000000000010100000000011",
  415. [8] = "1000010011111000010001111100000100111111110000001100001111111100000011000111111110000001100011111111000000100011111100000001100001111111000000110001111111000011000",
  416. [9] = "0001011000000001011000000000010110000000000001101111000000000000011011100000000000001001110000000000001100110000000000011001111000000000000110111000000000001011111",
  417. },
  418. [81] = {
  419. [7] = "1111111100000000000111111111111100000000000111111111111100000000000111111111111000000000000001111111111110000000000000111111111111000000000000000111111111000000000011111111111110000000000000111111111111110000000000000111111111111111000000000000011111111111000000000000111111111111100000000000000111111111111100000000111111110000000000111111110001",
  420. [8] = "0000011101111000001111000001111100111100001110000011111101110000011110000001111001111000000111100000011110011110000001111000011111001111000000011110000111011000000111110000011110111000000001111000001111110011100000011111100000111111011110000001111100001111011110000011110000011111100111000000011111000001111101100001110001110111000001110001110000",
  421. [9] = "1111111111111111000000000111111111111111000000001111111111111110000000000111111111111111000000000001111111111111111000000001111111111111111100000000001111111111000000000001111111111111100000000000111111111111111100000000000011111111111111110000000000111111111111100000000001111111111111111000000000000111111111111000000011111111110000000011111110",
  422. },
  423. [82] = {
  424. [7] = "111000000001111100000000111111000000000011110000001111000000111110000000011111000000000111111100000000111111100000000111110000000111111000000000111110000000011111100000000001111100000000111110000000000111111110000000011111111000000001111000000001111110000000",
  425. [8] = "011111000000011111000000000011111000000000111100000011110000001111100000000011111000000000011111100000000011111000000000111110000000111111000000000111100000000011111100000000001111110000000111111000000000011111110000000001111110000000011110000000011111110000",
  426. [9] = "011111000000011111000000000011111000000000111100000011110000001111100000000011111000000000011111100000000011111000000000111110000000111111000000000111100000000011111100000000001111110000000111111000000000011111110000000001111110000000011110000000011111110000",
  427. },
  428. [83] = {
  429. [7] = "1100000000000000011111111000000000000111111110000000000000001111111000000000000000000111111100000000000000000011111100000000000001111111000000000000001111111110000000000000001111111110000000000000000111111111000000000000000111111100000000000000000011111111000000000000000011110",
  430. [8] = "1111100000000000000001111111100000000000011111110000000000000000111111110000000000000000011111111100000000000000011111110000000000001111111000000000000000001111111000000000000000011111111100000000000000000111111110000000000000111111111000000000000000000111111111000000000000001",
  431. [9] = "0000011111110000000000000000011111000000000000001111111100000000000000001111111100000000000000000011111110000000000000001111110000000000000111111100000000000000000111111100000000000000000011111110000000000000000001111111000000000000000111111111000000000000000000111111000000000",
  432. },
  433. [84] = {
  434. [7] = "000000000000011100000000000001110000000000000000011111110000000000011111111100000000000000111111111111110000000000000000000000011100000000000000011110000000000000001110000000000000000110000000000000001111111111100000000001111111111100000000000000000011100000000000001111000000000000001110000000000000000011111100000000000001111111111000000000000011111111111110000000000000000000000111000000000000011110000000000000011100000000000011000000000000000000111111111100000000000111111111110000000000000000000000000111000000",
  435. [8] = "110000000111100011000000001110001111000000000011100000000000000000000000000011111111111111000000000000001111111111100000000000000011100000000011100001110000000001110001100000000001111001100000000000001111111111100000000001111111111100000000000000000000011000000011110000110000000001110001110000000000111100000000000000000000000000000111111111111100000000000001111111111110000000000000110000000001100001110000000011100011100000001100111100000000000000111111111100000000000111111111110000000000000000000000000000111111",
  436. [9] = "000011100000000000001111000000000000000011100000000000000000000000011111111100000000000000111111111111110000000000000000000000000000000011100000000000000001111000000000000001111000000000000011110000000000000000011111111110000000000011111111000000000000000001110000000000000001110000000000000001110000000000000000000000000001111111111000000000000011111111111110000000000000000000000000000001110000000000000001100000000000000011000000000000011111000000000000000011111111111000000000001111111111111000000000000000000000",
  437. },
  438. [85] = {
  439. [7] = "000000000001111000111000111000000111111000000000000000000111110000011110001111100000111100001111111111111111110000111110000111100000011110001110000000000011100011100000000",
  440. [8] = "111111100001111000111000111000000111111000000000000000000111110000011110001111100000111100001111111111111111110000111110000111100000011110001110000000000011100011100000000",
  441. [9] = "000000011110000111000111000111111000000111111111111111111000001111100001110000011111000011110000000000000000001111000001111000011111100001110001111111111100011100011111111",
  442. },
  443. [86] = {
  444. [7] = "0000000001111000011111000011111100000111000111111111111111000001110000011110000011111000111100000000000000001110001110001111000011100001111111111111110000001110000011110000001111000111110000000000000000111000011110000011110000111110000111111111111111000001111110000011110000001111100001111",
  445. [8] = "0000000000000111100000111100000011111000111000000000000000111110001111100001111100000111000011111111111111110001110001110000111100011110000000000000001111110001111100001111110000111000001111111111111111000111100001111100001111000001111000000000000000111110000001111100001111110000011110000",
  446. [9] = "1111111111111000011111000011111100000111000111111111111111000001110000011110000011111000111100000000000000001110001110001111000011100001111111111111110000001110000011110000001111000111110000000000000000111000011110000011110000111110000111111111111111000001111110000011110000001111100001111",
  447. },
  448. [87] = {
  449. [7] = "1111111111111100001111110001111100001111000011110000000000000001111100001111110000001111110000011110000011111111111111111100000111110001111100001110000111110000000000000000011111000111110001111000011100001111111111111111000011111000111100001110000111100000",
  450. [8] = "0000000000000011110000001110000011110000111100001111111111111110000011110000001111110000001111100001111100000000000000000011111000001110000011110001111000001111111111111111100000111000001110000111100011110000000000000000111100000111000011110001111000011110",
  451. [9] = "1111111111111111110000001110000011110000111100001111111111111110000011110000001111110000001111100001111100000000000000000011111000001110000011110001111000001111111111111111100000111000001110000111100011110000000000000000111100000111000011110001111000011110",
  452. },
  453. [88] = {
  454. [7] = "11000000011011000000111011110000000110011110000000000111001110000011101100000000000111001110000000000000111001110000000111100111000000001110011100000000000011100111100000011110011110000000001111001111000000000011101110000000111011110000000000110111000000000001111",
  455. [8] = "11000000011011000000111011110000000110011110000000000111001110000011101100000000000111001110000000000000111001110000000111100111000000001110011100000000000011100111100000011110011110000000001111001111000000000011101110000000111011110000000000110111000000000001111",
  456. [9] = "00011011011011000000111011110000000000000000011101100111001110000011101100000000000000000000111100111100111001110000000111100111000000000000000000111001110011100111100000011110011110000000000000000000001110111011101110000000111011110000000000000000011100111001111",
  457. },
  458. [89] = {
  459. [7] = "10000111011011110111000011011100000000000000000011110111100111001111000000001111011100000000000000000000111011001111001110000000110011000000000000000001110111011100111000000111001111000000000000000000000011110111100111001110000000111101111000000000000001111011101101111",
  460. [8] = "10110000000011110111000011011100000000111100110000000000000111001111000000001111011100000000001110011110000000001111001110000000110011000000111101111000000000011100111000000111001111000000000011110011110000000000000111001110000000111101111000000011101100000000001101111",
  461. [9] = "10000111011011110111000011011100000000000000000011110111100111001111000000001111011100000000000000000000111011001111001110000000110011000000000000000001110111011100111000000111001111000000000000000000000011110111100111001110000000111101111000000000000001111011101101111",
  462. },
  463. [90] = {
  464. [7] = "00111000000000110110000011100111000000000000111001111000000000000001111001111000000001111001111000000000000111011110000000000000011001100000000111100111100000000001111011110000000000000111100111100000011110011110000000000111001110000000000011110011110000000111001111000000000011110011100000000001",
  465. [8] = "00000011001110110110000011100111000000000000000000000001111001111001111001111000000001111001111000000000000000000000011110011110011001100000000111100111100000000000000000000011110111100111100111100000011110011110000000000000000000111001110011110011110000000111001111000000000000000000001100111111",
  466. [9] = "00000011001110110110000011100111000000000000000000000001111001111001111001111000000001111001111000000000000000000000011110011110011001100000000111100111100000000000000000000011110111100111100111100000011110011110000000000000000000111001110011110011110000000111001111000000000000000000001100111110",
  467. },
  468. [91] = {
  469. [7] = "11111110011001100000000000000111100011101110000011111111111111110000111001110000000000000011100001110111000001111111111110000111011110000000000000000011110000110011110000011111111111111000011100111100000000000000000011110000111100111100000011111111111110000111001111000000000000000011110000111100111100001111111111111111",
  470. [8] = "11111110011001100000000001111111100011101110000000001111111111110000111001110000000000111111100001110111000000001111111110000111011110000000000000011111110000110011110000000001111111111000011100111100000000000000111111110000111100111100000000001111111110000111001111000000000000111111110000111100111100000000111111111111",
  471. [9] = "00000110011001100001111111111111100011101110000000000000000011110000111001110000111111111111100001110111000000000000001110000111011110000001111111111111110000110011110000000000000001111000011100111100000011111111111111110000111100111100000000000000001110000111001111000000111111111111110000111100111100000000000000001111",
  472. },
  473. [92] = {
  474. [7] = "11100000000000000000111111100000000000000000111111100000000000000000111111110000000000000000011111000000000000000111111000000000000000000011111111000000000000000000011111110000000000000000000011111110000000000000000001111110000000000000000000111111110000000000000000001111111100000000000000001111111100000000000000000001111111000000001",
  475. [8] = "11100000000000000000111111100000000000000000111111100000000000000000111111110000000000000000011111000000000000000111111000000000000000000011111111000000000000000000011111110000000000000000000011111110000000000000000001111110000000000000000000111111110000000000000000001111111100000000000000001111111100000000000000000001111111000000001",
  476. [9] = "11111110000000000000000000111111100000000000000001111111100000000000000000111111110000000000000001111100000000000000011111111000000000000000000011111111000000000000000000111111110000000000000000000111111100000000000000000111111100000000000000000000111111110000000000000000001111110000000000000000001111111100000000000000000011111111110",
  477. },
  478. [93] = {
  479. [7] = "00000000000000000000000000111110000000000000000000000000000111000000000000000000000000000111100000000000000000000000000011111000000000000000000000000001111110000000000000000000000000000011110000000000000000000000000111111000000000000000000000000000011111",
  480. [8] = "11110000000000000000000000000111111100000000000000000000000001111100000000000000000000000000111111100000000000000000000000011111110000000000000000000000000111111100000000000000000000000000011111110000000000000000000000011111110000000000000000000000000000",
  481. [9] = "00000001111110000000000000000000000000001111110000000000000000000000001111100000000000000000000000000001111100000000000000000000000001111100000000000000000000000000001111110000000000000000000000000000111100000000000000000000000000111111000000000000000000",
  482. },
  483. [94] = {
  484. [7] = "1111000000000001111000000000000000111111000000000000111111000000000000000111111000000000000001111100000000000000111100000000000001111100000000000000111110000000000000000111111000000000000011111100000000000011111100000000000001111110000000000001111100000000",
  485. [8] = "0000001110111100000000011110011100000000000111001100000000000111100111100000000000011100111000000000111100111100000000001110011100000000011110111100000000000111100111100000000000111001110000000000001111011000000000011101111000000000000110111100000000001111",
  486. [9] = "0000011110000000000001111110000000000000011111000000000000011111100000000000000001111100000000000001111100000000000000111110000000000000111110000000000000011111100000000000000001111000000000000000111111000000000001111100000000000000011110000000000000111111",
  487. },
  488. [95] = {
  489. [7] = "00000000000000111111111100000000000111111111100000000000111111111100000000111100111000000000000001111001110000000000001111001111000000000001111001111000000000000000000000000111001111000000000000111001111000000000001111001111000000000000111100111111000000000000011111111111100000000000011111111111100000000001111111111110000000000011111111111000000001111001111000000000000001111001111000000000000001101110000000000000111001111000000000000000000000011110111100000000011001111000000000001111001111000000000011110011111100000000000011111",
  490. [8] = "11111000000000111111111100000000000111111111100000000000111111111100000000111100111000000000000001111001110000000000001111001111000000000001111001111000000000000000000000000111001111000000000000111001111000000000001111001111000000000000111100111111000000000000011111111111100000000000011111111111100000000001111111111110000000000011111111111000000001111001111000000000000001111001111000000000000001101110000000000000111001111000000000000000000000011110111100000000011001111000000000001111001111000000000011110011111100000000000011111",
  491. [9] = "11111111111111000000000011111111111000000000011111111111000000000000000000000000000001111001111000000000000011110111000000000000001110111000000000000001111001111000000000000111001111000000000000111001111000000000001111001111000000000000111100111111000000000000000000000000011111111111100000000000011111111110000000000001111111111100000000000000000000000000000001111001111000000000000001111001111000000000011110111100000000000001110011100000000000011110111100000000011001111000000000001111001111000000000011110011111100000000000000000",
  492. },
  493. [96] = {
  494. [7] = "1111111111111111000000000011111111100000000001111111111100000000000000000000000000001110011110000000000011100111100000000000110011100000000000111100111000000000000111100111100000000000011001111000000000001111",
  495. [8] = "1111100000000000111111111100000000011111111110000000000011111111111000000111001111000000000000011101110000000000000111001110000000000111001110000000000000000000000111100111100000000000011001111000000000001111",
  496. [9] = "0000011111111111000000000011111111100000000001111111111100000000000000000000000000001110011110000000000011100111100000000000110011100000000000111100111000000000000111100111100000000000011001111000000000001111",
  497. },
  498. [97] = {
  499. [7] = "111100000011111111111000000000000111111111100000000001111111110000000011100111100000000000111001111000000000000001111011110000000000000011110111100000000000000000000011100111100000000001111001111000000000000111100111100000000000011110011111100000000000111111111111000000000001111111111100000",
  500. [8] = "111111111100000000000111111111111000000000011111111110000000000000000000000000000110011100000000000001111001111000000000000011110011110000000000000111011100000000000011100111100000000001111001111000000000000111100111100000000000011110011111100000000000000000000000111111111110000000000011100",
  501. [9] = "111111111100000000000111111111111000000000011111111110000000000000000000000000000110011100000000000001111001111000000000000011110011110000000000000111011100000000000011100111100000000001111001111000000000000111100111100000000000011110011111100000000000000000000000111111111110000000000011100",
  502. },
  503. [98] = {
  504. [7] = "0110011110000000001100010111100000000001110001100111000000000000000000000000000000000000000000000000000000000000111100001100111100000000111100001101111000000011110000110011110000000000000000000000000000000000000000000000000000000000111000011001111000000000011110000100111100000000001100100111100000000000000000000000000000000000000000000000000000111100001100111000000000000",
  505. [8] = "0110011110000000001100010111100000000001110001100111000000000000000000000000000000000000000000000000000000000000111100001100111100000000111100001101111000000011110000110011110000000000000000000000000000000000000000000000000000000000111000011001111000000000011110000100111100000000001100100111100000000000000000000000000000000000000000000000000000111100001100111000000000000",
  506. [9] = "0000000000000000000000000000000000000000000000000000111000011001111000000000111100001001111000000001110000110011000000000000000000000000000000000000000000000000000000000000001110000110011110000000001100010110000000001111000011001111000000000000000000000000000000000000000000000000000000000000011100010011000000011100011001100000000001100011001111000000000000000000000000000",
  507. },
  508. [99] = {
  509. [7] = "00000000000000000000000000000000000000000000011110001100111100000000011110000110111100000000111100001100111000000000000000000000000000000000000000000000000000000000000000111100001001110000000001111000011001110000000011100001100111100000000000000000000000000000000000000000000000000000000000000111100011001110000000",
  510. [8] = "01011000001110001101110000000011100001100111100000000000000000000000000000000000000000000000000000000000000111100001011110000000001111000011001111000000000111000011001111000000000000000000000000000000000000000000000000000000000000011110000110111000000001111100001001111000000000111100011001111000000000000000000000",
  511. [9] = "00000000000000000000000000000000000000000000011110001100111100000000011110000110111100000000111100001100111000000000000000000000000000000000000000000000000000000000000000111100001001110000000001111000011001110000000011100001100111100000000000000000000000000000000000000000000000000000000000000111100011001110000000",
  512. },
  513. [100] = {
  514. [7] = "0001011110000000000111100011011100000000001111000010011100000000000000000000000000000000000000000000000000000000000000111100001011110000000011100001100111100000000001111000011001111000000000000000000000000000000000000000000000000000000000000000111100001100111100000000001111000011011110000000000111000011001111000000000000000000000000000000000000000000000000000000000000000001110001100110000000111001101110",
  515. [8] = "0000000000000000000000000000000000000000000000000000000011110000110011110000000001111001100111000000001111000011001111000000000000000000000000000000000000000000000000000000000000000111100001100111100000000011110001100111000000000011110000100111000000000000000000000000000000000000000000000000000000000000000000111100001100111100000000001111000011011110000000000111000011001110000000000000000000000000000000",
  516. [9] = "0000000000000000000000000000000000000000000000000000000011110000110011110000000001111001100111000000001111000011001111000000000000000000000000000000000000000000000000000000000000000111100001100111100000000011110001100111000000000011110000100111000000000000000000000000000000000000000000000000000000000000000000111100001100111100000000001111000011011110000000000111000011001110000000000000000000000000000000",
  517. },
  518. [101] = {
  519. [7] = "001001100000001110001101110000000011100011001110000000000000000000000000000000000000000000000000000000000111100011001110000000001111000011001111000000001110001100111000000000000000000000000000000000000000000000000000000000000011000010011100000000111100001100111100000000001110001100111000000000000000000000000000000000000000000000000000000000000011110001100111100000000001111000010011111",
  520. [8] = "001001100000001110001101110000000011100011001110000000000000000000000000000000000000000000000000000000000111100011001110000000001111000011001111000000001110001100111000000000000000000000000000000000000000000000000000000000000011000010011100000000111100001100111100000000001110001100111000000000000000000000000000000000000000000000000000000000000011110001100111100000000001111000010011111",
  521. [9] = "001001100000001110001101110000000011100011001110000000000000000000000000000000000000000000000000000000000111100011001110000000001111000011001111000000001110001100111000000000000000000000000000000000000000000000000000000000000011000010011100000000111100001100111100000000001110001100111000000000000000000000000000000000000000000000000000000000000011110001100111100000000001111000010011111",
  522. },
  523. [102] = {
  524. [7] = "1111111111100000000000000000001111111111111111100000000000000000111111111111110000000000000011111111111100000000000001111111111110000000000011111111110000000000111111110000000011111100000011110001110011110011110011110111100000000000000000000000000000011110000111111000000111111110000000011111111110000000000111111111111000000000000111111111111110000000000000011111111111110000000000000000111111111111111111000000000000000000111111111111111111100000000000000000001111111111111111100000000000000000011111111111111000000000000000111111111111110000000000000011111111111100000000000011111111000000000111111100000000111111000000011110000111100111100111100111100111100000000000000000000000001111000011111100000111111110000",
  525. [8] = "1111111111100000000000000000001111111111111111100000000000000000111111111111110000000000000011111111111100000000000001111111111110000000000011111111110000000000111111110000000011111100000011110001110011110011110011110111100000000000000000000000000000011110000111111000000111111110000000011111111110000000000111111111111000000000000111111111111110000000000000011111111111110000000000000000111111111111111111000000000000000000111111111111111111100000000000000000001111111111111111100000000000000000011111111111111000000000000000111111111111110000000000000011111111111100000000000011111111000000000111111100000000111111000000011110000111100111100111100111100111100000000000000000000000001111000011111100000111111110000",
  526. [9] = "1111111111111111111111111111110000000000000000011111111111111111000000000000001111111111111100000000000011111111111110000000000001111111111100000000001111111111000000001111111100000011111100001110000000000000000000000000000111100111100111100111100111100001111000000111111000000001111111100000000001111111111000000000000111111111111000000000000001111111111111100000000000001111111111111111000000000000000000111111111111111111000000000000000000011111111111111111110000000000000000011111111111111111100000000000000111111111111111000000000000001111111111111100000000000011111111111100000000111111111000000011111111000000111111100001111000000000000000000000000000000111101100111100111001110000111100000011111000000001111",
  527. },
  528. [103] = {
  529. [7] = "0000000000000111111111111111111110000000000000000011111111111111111000000000000000011111111111111110000000000000011111111111111000000000000111111111111000000000011111111110000000011111111000011111100001111000000000000000000000000000011110011110011100111100111100001111000000111110000000011111110000000001111111111000000000111111111110000000000111111111111100000000000000001111111111111110000000000000001111111111111111110000000000000000000011111111111111111110000000000000000001111111111",
  530. [8] = "0000000000000000000000000000000001111111111111111100000000000000000111111111111111100000000000000001111111111111100000000000000111111111111000000000000111111111100000000001111111100000000111100000011110000111100111100111100110011110000000000000000000000000000011110000111111000001111111100000001111111110000000000111111111000000000001111111111000000000000011111111111111110000000000000001111111111111110000000000000000001111111111111111111100000000000000000001111111111111111110000000000",
  531. [9] = "1111111111111111111111111111111110000000000000000011111111111111111000000000000000011111111111111110000000000000011111111111111000000000000111111111111000000000011111111110000000011111111000011111100001111000000000000000000000000000011110011110011100111100111100001111000000111110000000011111110000000001111111111000000000111111111110000000000111111111111100000000000000001111111111111110000000000000001111111111111111110000000000000000000011111111111111111110000000000000000001111111111",
  532. },
  533. [104] = {
  534. [7] = "11111111111100000000000000001111111111111111110000000000000001111111111111100000000001111111110000000000001111111111110000000000011111111110000000000111111110000000111111000001111000111100111100111100111100111100000000000000000000000000000011110000111111000000111111110000000001111111111000000000011111111111100000000000011111111111111100000000000000111111111111110000000000000000111111111111111100000000000000000111111111111111111100000000000000000000111111111111111111000000000000000011111111111111110000000000000001111111111111100000000000000111111111100000000000111111111100000000011111110000000111111000000111100001111001111001111001111001111000000000000000000000000001100011111100000011111110000000111111111000",
  535. [8] = "00000000000011111111111111110000000000000000001111111111111110000000000000011111111110000000001111111111110000000000001111111111100000000001111111111000000001111111000000111110000111000000000000000000000000000000111100111100111100111100111100001111000000111111000000001111111110000000000111111111100000000000011111111111100000000000000011111111111111000000000000001111111111111111000000000000000011111111111111111000000000000000000011111111111111111111000000000000000000111111111111111100000000000000001111111111111110000000000000011111111111111000000000011111111111000000000011111111100000001111111000000111111000011110000000000000000000000000000011110011100111001110011110011100000011111100000001111111000000000111",
  536. [9] = "11111111111111111111111111110000000000000000001111111111111110000000000000011111111110000000001111111111110000000000001111111111100000000001111111111000000001111111000000111110000111000000000000000000000000000000111100111100111100111100111100001111000000111111000000001111111110000000000111111111100000000000011111111111100000000000000011111111111111000000000000001111111111111111000000000000000011111111111111111000000000000000000011111111111111111111000000000000000000111111111111111100000000000000001111111111111110000000000000011111111111111000000000011111111111000000000011111111100000001111111000000111111000011110000000000000000000000000000011110011100111001110011110011100000011111100000001111111000000000111",
  537. },
  538. [105] = {
  539. [7] = "0000000000000000000000000000001111111111111111110000000000000001111111111111110000000000001111111111110000000001111111111000000001111111100000000111110000011111000001110011101101111011100111000000000000000000000000001110011100001111100000111111100000111111111100000000111111111100000000000011111111100000000000111111111111110000000000000000111111111111111100000000000000111111111111111100000000",
  540. [8] = "1111111111111100000000000000001111111111111111110000000000000001111111111111110000000000001111111111110000000001111111111000000001111111100000000111110000011111000001110011101101111011100111000000000000000000000000001110011100001111100000111111100000111111111100000000111111111100000000000011111111100000000000111111111111110000000000000000111111111111111100000000000000111111111111111100000000",
  541. [9] = "1111111111111100000000000000001111111111111111110000000000000001111111111111110000000000001111111111110000000001111111111000000001111111100000000111110000011111000001110011101101111011100111000000000000000000000000001110011100001111100000111111100000111111111100000000111111111100000000000011111111100000000000111111111111110000000000000000111111111111111100000000000000111111111111111100000000",
  542. },
  543. [106] = {
  544. [7] = "00000011110000000000000111100011111000000000000000000000000001111111100000000111111111000000000111111100000000000111111111111100000000000001111111111100000000000000000000000000000111111111111100000000000111111111111110000000000001111",
  545. [8] = "00000011110000000000000111100011111000000000000000000000000001111111100000000111111111000000000111111100000000000111111111111100000000000001111111111100000000000000000000000000000111111111111100000000000111111111111110000000000001111",
  546. [9] = "00000000001111100011111000000000000111111100111111100000000001111111100000000111111111000000000111111100000000000000000000000011111111111110000000000011111111111100000000000000000111111111111100000000000111111111111110000000000001111",
  547. },
  548. [107] = {
  549. [7] = "110000111110000111111000111100001111000000111110000011111100001111110000011111000001111110000001111011110111001100111101111001110011001101111000111110000111000011111000000111110000111110000011111000111111000001111110000001111110000001111110000001111001101101110011110111101110011011110111100001111100000111110000111100000111111000001111000000111100000111100000111100011111000011111",
  550. [8] = "110000111110000111111000111100001111000000111110000011111100001111110000011111000001111110000001111011110111001100111101111001110011001101111000111110000111000011111000000111110000111110000011111000111111000001111110000001111110000001111110000001111001101101110011110111101110011011110111100001111100000111110000111100000111111000001111000000111100000111100000111100011111000011111",
  551. [9] = "111111000001111000000111000011110000111111000001111100000011110000001111100000111110000001111111111011110111001100111101111001110011001101111000000001111000111100000111111000001111000001111100000111000000111110000001111110000001111110000001111111111001101101110011110111101110011011110111100000000011111000001111000011111000000111110000111111000011111000011111000011100000111100000",
  552. },
  553. [108] = {
  554. [7] = "11111000011111100011111000011111100000111110000001111100000111111000001111110000001110000011111111101111001111001111001111001111001110011110011110111000000111100001111110000011111000001111100000111100000111111000001111110000111110000001111000000111111101111011110011110011100111101110111100111100111100000000011111100000011111100000011111100000111110000001111110000001111110000001111110000000",
  555. [8] = "10000111100000011100000111100000011111000001111110000011111000000111110000001111110001111100000111101111001111001111001111001111001110011110011110111001111000011110000001111100000111110000011111000011111000000111110000001111000001111110000111111000011101111011110011110011100111101110111100111100111100001111100000011111100000011111100000011111000001111110000001111110000001111110000001111111",
  556. [9] = "01111000011111100011111000011111100000111110000001111100000111111000001111110000001110000011111111101111001111001111001111001111001110011110011110111000000111100001111110000011111000001111100000111100000111111000001111110000111110000001111000000111111101111011110011110011100111101110111100111100111100000000011111100000011111100000011111100000111110000001111110000001111110000001111110000001",
  557. },
  558. [109] = {
  559. [7] = "00011111000011111000001111110000011111000011111000001111110000011100000111111000011111000001110110011100110111101110011001110111100110000111100000111110000011111000011110001111000011110000111111000",
  560. [8] = "11100000111100000111110000001111100000111100000111110000001111100011111000000111100000111111110110011100110111101110011001110111100110000000011111000001111100000111100001110000111100001111000000000",
  561. [9] = "11100000111100000111110000001111100000111100000111110000001111100011111000000111100000111111110110011100110111101110011001110111100110000000011111000001111100000111100001110000111100001111000000000",
  562. },
  563. [110] = {
  564. [7] = "0111110000111110000001111100000111000001111000001111000011111000001110000011111000011001111011011110011100111011110110111011110001111100000111100001111000000111111000001111110001111100000111111000001111000011111100000111100000011101111011100110110110011011110111101110000111100000111111000001111000111100001110000111",
  565. [8] = "0111110000111110000001111100000111000001111000001111000011111000001110000011111000011001111011011110011100111011110110111011110001111100000111100001111000000111111000001111110001111100000111111000001111000011111100000111100000011101111011100110110110011011110111101110000111100000111111000001111000111100001110000111",
  566. [9] = "0111110000111110000001111100000111000001111000001111000011111000001110000011111000011001111011011110011100111011110110111011110001111100000111100001111000000111111000001111110001111100000111111000001111000011111100000111100000011101111011100110110110011011110111101110000111100000111111000001111000111100001110000111",
  567. },
  568. [111] = {
  569. [7] = "11111111111000000000001111111111111100000000000001111111110000000000000011111111111111000000000000001111111111000000000000011111111111100000000000001111111111111111000000000000000111111111111111000000000000000011111111111111110000000000000001111111111111110000000",
  570. [8] = "11111000000000000011110000000000000000000011111110000000000000001111111100000000000000000000001111110000000000000000111111100000000000000000011111110000000000000000000000001111111000000000000000000000001111111100000000000000000000000111111110000000000000000000000",
  571. [9] = "11111000000111111111110000000000000011111111111110000000001111111111111100000000000000111111111111110000000000111111111111100000000000011111111111110000000000000000111111111111111000000000000000111111111111111100000000000000001111111111111110000000000000001111110",
  572. },
  573. [112] = {
  574. [7] = "111100111100000000000000000000011100111100111111000111100111011110000000000000000000001100111001111001110111001110000000000000000000111011100111111100001110011101110000000000000000011101101111111000111011100111000000000000000001100111101111110000111100111001111000000000000000000000001111001110011111111000111101110",
  575. [8] = "000000000000000000000000000000011100111100111111000000000000000000000000000000000000001100111001111000000000000000000000000000000000111011100111111100000000000000000000000000000000011101101111111000000000000000000000000000000001100111101111110000000000000000000000000000000000000000001111001110011111111000000000000",
  576. [9] = "000000000000001111001111011100011100111100111111000000000000000000000111001111001110001100111001111000000000000000001100111001110000111011100111111100000000000000000011001110011100011101101111111000000000000000001110011011100001100111101111110000000000000000000000011110011100111100001111001110011111111000000000000",
  577. },
  578. [113] = {
  579. [7] = "1111001101110000000000001111001111011110011110000000000011110011001110111000000000000001110111100111001111000000000000111001111001111001111000000001110111101101110000000011110110111001100000000000110111001101111",
  580. [8] = "1111001101110000000000001111001111011110011110000000000011110011001110111000000000000001110111100111001111000000000000111001111001111001111000000001110111101101110000000011110110111001100000000000110111001101111",
  581. [9] = "0000001101110011100111000000000000011110011110111001110000000000001110111001111001111000000000000111001111001111011100000000000001111001111001101100000000001101110110110000000000111001101111001110000000001101111",
  582. },
  583. [114] = {
  584. [7] = "1111110000000000011111111111000000000001111111111110000000000000111111100000000000000000111000111001111011111000000111111111110000000000011111111111100000000000111111111111100000000000111111110000000000000000001100011101101111111110000000111111111111000000000011111111111000000000000011111111111000000000000111111100000000000",
  585. [8] = "1111111111111111100000000000111111111110000000000001111111111111000000011111111111111100111000111001111011111000000000000000001111111111100000000000011111111111000000000000011111111111000000001111111111111111001100011101101111111110000000000000000000111111111100000000000111111111111100000000000111111111111000000011111111111",
  586. [9] = "1111110000000000011111111111000000000001111111111110000000000000111111100000000000000000111000111001111011111000000111111111110000000000011111111111100000000000111111111111100000000000111111110000000000000000001100011101101111111110000000111111111111000000000011111111111000000000000011111111111000000000000111111100000000000",
  587. },
  588. [115] = {
  589. [7] = "101110001111100000010101111000111111000000011010011111001111110000000011011001111100011111111000000011001011111000111111000000011011011111100001111110000000110110111111000011111110000000000110010011110001111100000011010111100111111000000010110111000111111000000011",
  590. [8] = "100011110011111011011100001111000111111011011110000001110001111100110011111000001111100001111111010011111000011111001111111011011111000001111110001111110010111110000011111100011111111001100111110000011110011111010011110000111000111111001011110001111000111111001011",
  591. [9] = "000000001010011100011110000000100110111100011111100000001101101111000011111110000000011001100111100011111100000000101100111100011111100000000001101101111100111111000000000011011001111110000111111100000001011011100011111000000110100111110011111000000100110111110011",
  592. },
  593. [116] = {
  594. [7] = "11000000000001111000000000111110000000000001111100000000000011100000000000111110000000000000111111000000000000011100000000000001111000000000000111100000000000011111100000000000001111110000000000000011110000000000111110000000000000011110000000000000001111000000000111100000000000000111110000000000011111000000000000001111100000000000000111110000000000011110000000000000001111000",
  595. [8] = "11111110000000001111100000000011111100000000001111110000000000111100000000000011111100000000000011111110000000000111111000000000001111100000000000111111000000000001111111000000000000111111100000000000111111000000000111111110000000000011111110000000000001111000000001111111100000000000111110000000000011111111000000000000111111000000000000111111000000000011111100000000000000000",
  596. [9] = "00000000011110000000000111000000000000011110000000000000111100000000011111000000000000011111000000000000000111100000000000111110000000000111111000000000000111100000000000000011110000000000000001111100000000000111000000000000001111100000000000000111110000000000111000000000000001111000000000001111100000000000000111110000000000000011111000000000000111100000000000001111110000000",
  597. },
  598. [117] = {
  599. [7] = "0000111100000000000111000000000111100000000001110000000000111100000000000111100000000011110000000000011110000000000001110000000000001111000000000000111100000000001111000000000001111000000000001111000000000001111000000000001111000000000001111000000000011110000000000111100000000000111100000000000011110000000000001111",
  600. [8] = "1111000000000001111000000001111000000000001110000000000111000000000000111000000000001100000000000011100000000000011110000000000011110000000000001111000000000000110000000000001110000000000011110000000000011110000000000011110000000000001110000000000011100000000001111000000000000111000000000000111100000000000011110000",
  601. [9] = "0000000011110000000000110000000000011100000000001110000000000011110000000000011110000000001111000000000001111000000000001111000000000000111100000000000011110000000000111100000000000111100000000000111000000000000111100000000000111100000000000111000000000001110000000000011110000000000011110000000000001111000000000000",
  602. },
  603. [118] = {
  604. [7] = "1111111110000000000000000000000000000011111111111000000000000000000000000000011111111111110000000000000000000000000000000000111111111111111111000000000000000000000000000000000000000111111111111111111000000000000000000000000000111110",
  605. [8] = "0000000011111000000000000000000000111110000000001111100000000000000000001111110000000000111111110000000000000000000000011111110000000000000011111111100000000000000000000000000111111110000000000000011111100000000000000000001111100001",
  606. [9] = "0000000000000001111111111111110000000000000000000000000111111111111111000000000000000000000000000011111111111111111000000000000000000000000000000000000111111111111111111110000000000000000000000000000000001111111111111111000000000000",
  607. },
  608. [119] = {
  609. [7] = "00000000001100000000000000111100000000000000000001111000000000000000000111000000000000000000001110000000000000000000111100000000000000000111000000000000000000001111000000000000000000111100000000000000000001111000000000000000000001111000000000000",
  610. [8] = "10000000110011000000001111000011110000000000011110000111000000000001111000111100000000000011110001110000000000001111000011110000000000111000111100000000000011110000111100000000001111000011110000000000011110000111100000000000011110000111100000000",
  611. [9] = "00011000000000001100000000000000000000111000000000000000000111100000000000000000001111000000000000000000111100000000000000000001110000000000000000001111000000000000000000001110000000000000000000111100000000000000000001111000000000000000000000000",
  612. },
  613. [120] = {
  614. [7] = "111000000000000000000000000000000000111100111100000000000000000000000000000000000001111001110000000000000000000000000000000000000111100111100000000000000000000000000000000000111100111000000000000000000000000000000000001111001111000000000000000000000000000000000000111100111000000000000000000000",
  615. [8] = "000000000000000111100111100000000000000000000000000000000001111001111000000000000000000000000000000000000011110011110000000000000000000000000000000000011110011100000000000000000000000000000000000111100111100000000000000000000000000000000000011110111100000000000000000000000000000000000011100000",
  616. [9] = "000000000000000000000000001110011110000000000000000000000000000000000001111001111000000000000000000000000000000000000111100111100000000000000000000000000000000000111100111100000000000000000000000000000000000111001111000000000000000000000000000000000000111100111100000000000000000000000000000001",
  617. },
  618. [121] = {
  619. [7] = "00000011111111111110000000000000011111111110000000000000111111111111000000000000111111111111000000000001111111111110000000000000000111111111111111000000000000000111111111111111000000000000000111111111111111000000000000000111111111111111000000000000001111111",
  620. [8] = "00111111111111110000000000000011111111100000000000000111111111111100000000000011111111111000000000001111111111110000000000000001111111111111110000000000000001111111111111111000000000000001111111111111110000000000000001111111111111110000000000000011111111000",
  621. [9] = "11111111110000000000001111111111111000000000001111111111111000000000000011111111111000000000000111111111110000000000000111111111111111000000000000000011111111111111100000000000000111111111111111100000000000000111111111111111100000000000000011111111111111000",
  622. },
  623. [122] = {
  624. [7] = "11111111110000000000000111111111000000000000111111111111000000000001111111111111100000000000000111111111111110000000000000011111111111111110000000000000011111111111110000000000001111111111000000000000111",
  625. [8] = "01111111111111000000000001111111111000000000000111111111110000000000001111111111111110000000000000111111111111111000000000000001111111111111111000000000000011111111111110000000000011111111111100000000000",
  626. [9] = "00000001111111111111000000000111111111111000000000000111111111110000000000000111111111111110000000000000011111111111111000000000000000011111111111111100000000000001111111111111000000000111111111111000000",
  627. },
  628. [123] = {
  629. [7] = "1111111100000000000000111111111111110",
  630. [8] = "1111111111100000000000000011111111111",
  631. [9] = "0000011111111111110000000000000011111",
  632. },
  633. [124] = {
  634. [7] = "01111110000000000000000000000000011111111111000000011111111111110000000000000000000000111111111111000000011111111111110000000000000000000000000111111111111000000011111111111100000000000000000000000111111111111111100000000",
  635. [8] = "11111111110000000000000000000011111111111000000000000111111111111100000000000000000111111111111000000000000001111111111110000000000000000000111111111111000000000000111111111111000000000000000001111111111111111000000000000",
  636. [9] = "10000111111111110000001111111111111100000000000000000000000001111111111100000111111111110000000000000000000000000011111111111111000001111111111110000000000000000000000000011111111110000001111111111111100000000000000000000",
  637. },
  638. [125] = {
  639. [7] = "000000000000000011111111111000000001111111000000001111111100000000001111111000000001111111111100000000000011111111100000000001111110111100",
  640. [8] = "000011111111111100000000000111111110000000111111110000000011111111110000000111111110000000000011111111110011111111100000000001111110111100",
  641. [9] = "000011111111111100000000000111111110000000111111110000000011111111110000000111111110000000000011111111110000000000011111111110000000000000",
  642. },
  643. [126] = {
  644. [7] = "000000000000111100111000000000000001100111000000000000001111001111000000000000011110011110000000000000011110011110000000000000110111100000000000110111000000000000011100111100000",
  645. [8] = "000000000000000000000001111001111001100111000000000000000000000000011110011110011110011110000000000000000000000000011110011100110111100000000000000000001111001111011100111100111",
  646. [9] = "111100111100111100111000000000000000000000001111001111001111001111000000000000000000000000011110011110011110011110000000000000000000000111011100110111000000000000000000000000000",
  647. },
  648. [127] = {
  649. [7] = "001100110111111110000000000000000000000011100101011111111111000000000000000000000000001111000010011011111111111100000000000000000000000000111000110010011111111111000000000000000000000011100011010011111111110000000000000000000000111001101",
  650. [8] = "000011001111111100000000000000000000000001110010111111111100000000000000000000000000000011110001100111111111110000000000000000000000000000011110001101111111111100000000000000000000000000111000101111111111100000000000000000000000001100001",
  651. [9] = "000000000000000001111000100100111111111100000000000000000000111100011001101111111111110000000000000000000000000011100001101100111111111111000000000000000000000000111000011001101111111100000000000000000000001111001101100111111111000000000",
  652. },
  653. [128] = {
  654. [7] = "110011101100111100111100000000000000000000000000000001111001111001111001110011100111100000000000000000000000000011101110011100110011110111000000000000",
  655. [8] = "110011101100111100111100000000000000000000000000000001111001111001111001110011100111100000000000000000000000000011101110011100110011110111000000000001",
  656. [9] = "000000000000000000000011110011110011101110111110011110000000000000000000000000000000011111001100110111011100111100000000000000000000000000111011111000",
  657. },
  658. [129] = {
  659. [7] = "00000000000000000011100111001110111101110011110000000000000000000000000000000111100111001110111100111100111100000000000000000000000000000000011100111001111110011110",
  660. [8] = "11001101101100111100000000000000000000000000001110011110011110011110111001111000000000000000000000000000000011100111100111100111100111100111100000000000000000000000",
  661. [9] = "00000000000000000011100111001110111101110011110000000000000000000000000000000111100111001110111100111100111100000000000000000000000000000000011100111001111110000000",
  662. },
  663. [130] = {
  664. [7] = "0111001111001111001111000000000000000000000000000000000011110011110011110011110111100111100000000000000000000000000111011100111101110011110011100000000000000000000000000000000001111100111100111100111100000011110",
  665. [8] = "0000000000000000000000111100111100111100111100111100111100000000000000000000000000000000011001111001111001110110111000000000000000000000000000011110011110011110011110011110011110000000000000000000000000000011110",
  666. [9] = "0000000000000000000000111100111100111100111100111100111100000000000000000000000000000000011001111001111001110110111000000000000000000000000000011110011110011110011110011110011110000000000000000000000000000011110",
  667. },
  668. [131] = {
  669. [7] = "01111011110011110011110000000000000000000000000001110110111011001111011100000000000000000000110011101100111100111011100000000000000000000000000001110110111001100110111000000000000000000000000000110111101110011001110011000000000000000000000",
  670. [8] = "01111011110011110011110000000000000000000000000001110110111011001111011100000000000000000000110011101100111100111011100000000000000000000000000001110110111001100110111000000000000000000000000000110111101110011001110011000000000000000000000",
  671. [9] = "01111011110011110011110000000000000000000000000001110110111011001111011100000000000000000000110011101100111100111011100000000000000000000000000001110110111001100110111000000000000000000000000000110111101110011001110011000000000000000000000",
  672. },
  673. [132] = {
  674. [7] = "00111000000000000001111100001111100000000000011111000111111000000000000000000111111000011111100000000000000000011111100001111110000000000000111111000011111100000000000",
  675. [8] = "00111000000000000001111100001111100000000000011111000111111000000000000000000111111000011111100000000000000000011111100001111110000000000000111111000011111100000000000",
  676. [9] = "00000011111000011110000000000000001111000111100000000000000001111110000111111000000000000000000111111000011111100000000000000000111110001111000000000000000000111110000",
  677. },
  678. [133] = {
  679. [7] = "00000000001111100001111100000000001111110000111111000000000000000011111000111110000000000000001111000111110",
  680. [8] = "00001111000000000000000011100011100000000000000000111110000111110000000000000001111000011111000000000000000",
  681. [9] = "00000000001111100001111100000000001111110000111111000000000000000011111000111110000000000000001111000111110",
  682. },
  683. [134] = {
  684. [7] = "001111110000000000000000111110000111111000000000000000111100011100000000000000011111001111",
  685. [8] = "000000000011111000111111000000000000000001111100011111000000000000111110011111100000000000",
  686. [9] = "000000000011111000111111000000000000000001111100011111000000000000111110011111100000000000",
  687. },
  688. [135] = {
  689. [7] = "000011111000000000000000011111100001111100000000000000011111100001111110000000000000000011111100001111100000000000000000011111000011111100000000000000000111111000011111000000000000000011111100001111100000000000000001",
  690. [8] = "000011111000000000000000011111100001111100000000000000011111100001111110000000000000000011111100001111100000000000000000011111000011111100000000000000000111111000011111000000000000000011111100001111100000000000000001",
  691. [9] = "000011111000000000000000011111100001111100000000000000011111100001111110000000000000000011111100001111100000000000000000011111000011111100000000000000000111111000011111000000000000000011111100001111100000000000000000",
  692. },
  693. [136] = {
  694. [7] = "1100000000001110011100000000001111011100000000001110011100000000111001110000000000001110000",
  695. [8] = "1100000000001110011100000000001111011100000000001110011100000000111001110000000000001110000",
  696. [9] = "0001110011110000000000111100110000000001110011110000000000111011000000000011110011110000000",
  697. },
  698. [137] = {
  699. [7] = "000011100111000000000001111001110000000000001111001111000000000000111100111100000000000011110011110",
  700. [8] = "111000000000111100111000000000001111001111000000000000111100111100000000000011110011110000000000000",
  701. [9] = "000011100111000000000001111001110000000000001111001111000000000000111100111100000000000011110011110",
  702. },
  703. [138] = {
  704. [7] = "01110000000000111001110000000000001111001110000000000111101110000000111100111000000000011001100000000111001111",
  705. [8] = "00000011001111000000000011110011110000000000011100111000000000110111000000000001111001100000001100111000000000",
  706. [9] = "00000011001111000000000011110011110000000000011100111000000000110111000000000001111001100000001100111000000000",
  707. },
  708. [139] = {
  709. [7] = "01110000000000111001110000000001111001111000000000001111001100000000011001111000000000011100111000000000111011100000000011110011000000000001111011",
  710. [8] = "01110000000000111001110000000001111001111000000000001111001100000000011001111000000000011100111000000000111011100000000011110011000000000001111011",
  711. [9] = "01110000000000111001110000000001111001111000000000001111001100000000011001111000000000011100111000000000111011100000000011110011000000000001111011",
  712. },
  713. [140] = {
  714. [7] = "001110001110000110000111100011100001111000111000011110001111000011110000111000011110000111100001111000011000110011100111000111100011100011000011110000111001111000011100111100001110001111001111",
  715. [8] = "001110001110000110000111100011100001111000111000011110001111000011110000111000011110000111100001111000011000110011100111000111100011100011000011110000111001111000011100111100001110001111001111",
  716. [9] = "001110001110000110000111100011100001111000111000011110001111000011110000111000011110000111100001111000011000110011100111000111100011100011000011110000111001111000011100111100001110001111001111",
  717. },
  718. }
  719.  
  720. leds_WarningPatterns = {
  721. [1] = {
  722. [1] = "0000000001101100110110000000000001101100110110000000000000",
  723. [2] = "1100110110000000000001101100110110000000000001101100110111",
  724. [3] = "1100110110000000000001101100110110000000000001101100110111",
  725. [4] = "0000000001101100110110000000000001101100110110000000000000",
  726. [5] = "00000000000000000000000000000000000000000111111111111111111100000000000000000000111111111111111111110000000000000000000011111111111111111",
  727. [6] = "00000000000000000000011111111111111111111000000000000000000011111111111111111111000000000000000000001111111111111111111100000000000000011",
  728. },
  729. [2] = {
  730. [5] = "11111111111111111111111111111111111111111111111111",
  731. [6] = "111111111111111111111111111111111111111111111111110",
  732. },
  733. [3] = {
  734. [5] = "000000000000000000000000000000000000000000000000000000000000000000000000",
  735. [6] = "000000000000000000000000000000000000000000000000000000000000000000000000",
  736. },
  737. [4] = {
  738. [5] = "0011110000111100111100000000000000000000000111100111100001111001111000000000000000000000000111100111100001110",
  739. [6] = "0000000000000000000011110011110000111100111000000000000000000000000111100111100001111001111000000000000000000",
  740. },
  741. [5] = {
  742. [5] = "1111000011110011110000000000000000000001111001111000111100111100000000000000000000000111100111100001111001111",
  743. [6] = "1111000011110011110000000000000000000001111001111000111100111100000000000000000000000111100111100001111001111",
  744. },
  745. [6] = {
  746. [5] = "00010011001111111111110000000000000000000000111100001100110011111111111100000000000000000000000000001111000011001100111111111111000000",
  747. [6] = "00000000000000000000001100001101001111111111000000000000000000000000000011110000110011001111111111110000000000000000000000000000111110",
  748. },
  749. [7] = {
  750. [5] = "000110011001111111111110000000000000000000000000111100001100110011111111111100000000000000000000000000001111000011001100111111111111111",
  751. [6] = "000110011001111111111110000000000000000000000000111100001100110011111111111100000000000000000000000000001111000011001100111111111111111",
  752. },
  753. [8] = {
  754. [5] = "1100011111111000000000000000000011111100001111111100000000000000000000111111110000111111110000000000000000000111111110001111100000000000000000011111110000111111000000000000000011111110011111100000000000000000000111111111",
  755. [6] = "1100000000000111100000000111111100000000000000000011110000000011111111000000000000000000001111000000001111111000000000000000011100000000111111100000000000000000110000001111111100000000000000011110000000011111111000000000",
  756. },
  757. [9] = {
  758. [5] = "1100001111111111000000000000001111111000011111111110000000000000001111111100011111111110000000000000000111111110000111111111111000000000000000011111111000011111111111100000000000000",
  759. [6] = "0000001111111111000000000000001111111000011111111110000000000000001111111100011111111110000000000000000111111110000111111111111000000000000000011111111000011111111111100000000000000",
  760. },
  761. [10] = {
  762. [5] = "0011111100000000000000000011110011111000000000000111100111111000000000000000001111001111110000000000000000001111001111110000000000000000001111001111110000000000000000001111001111110000000000000000001111001111110000000000000000",
  763. [6] = "0000000000001111001111110000000000000000110011110000000000000000111100111111000000000000000000111100111111000000000000000000111100111111000000000000000000111100111111000000000000000000111100111111000000000000000000111100111111",
  764. },
  765. [11] = {
  766. [5] = "00000000000000001111001111100000000000000001111001111110000000000000000001111001111110000000000000000001111001111100000000000000000111100111111000000000000000001111001111110000000000000000011110011111100000000000000011100111111",
  767. [6] = "00000000000000001111001111100000000000000001111001111110000000000000000001111001111110000000000000000001111001111100000000000000000111100111111000000000000000001111001111110000000000000000011110011111100000000000000011100111111",
  768. },
  769. [12] = {
  770. [5] = "0000000000111100110000000000001111001100",
  771. [6] = "1111001100000000000011110011000000000000",
  772. },
  773. [13] = {
  774. [5] = "111100110000000000001111001100000000000011110011000000000000",
  775. [6] = "111100110000000000001111001100000000000011110011000000000000",
  776. },
  777. [14] = {
  778. [5] = "000110011111111110000000000000000000011000110111111111100000000000000000000000011100001100111111111111000000000000000000000001111000011001111111111110000000000000000000",
  779. [6] = "000000000000000001110001100111111111100000000000000000011110000110011111111111100000000000000000000000111100011001111111111110000000000000000000000001111000011001111111",
  780. },
  781. [15] = {
  782. [5] = "000010111111111100000000000000000110011001111111111100000000000000000000000111100001100111111111110000000000000000000000011110000110011111111111100000000000000000000000111100001100111111111111000000000000000000000001111000011001111111111100000000000000000000",
  783. [6] = "000010111111111100000000000000000110011001111111111100000000000000000000000111100001100111111111110000000000000000000000011110000110011111111111100000000000000000000000111100001100111111111111000000000000000000000001111000011001111111111100000000000000000000",
  784. },
  785. [16] = {
  786. [5] = "011110111001111001111000000000000000000000000000001110011110011110011110011110000000000000000000000000000001111001111001111001111001111000000000000000000000000000000011110011110011110011110011110000000000000000000000000000000011110011110011110011110011100000000000",
  787. [6] = "000000000000000000000001110011110011001111001111000000000000000000000000000000011110011110011110011001111000000000000000000000000000000011110011110011110011110011110000000000000000000000000000000011110011110011110011110011110000000000000000000000000000000111001111",
  788. },
  789. [17] = {
  790. [5] = "0111011110011110011110000000000000000000000000000111100111100111100111100111100000000000000000000000000001111001111001111001111001111000000000000000000000000000000011001111001111111",
  791. [6] = "0111011110011110011110000000000000000000000000000111100111100111100111100111100000000000000000000000000001111001111001111001111001111000000000000000000000000000000011001111001111111",
  792. },
  793. [18] = {
  794. [5] = "111100011100000000000000000000001111110001111000000000000000000000000111111111000011110000000000000000000000001111111111000011110000000000000000000000001111111111000011110000000000000000000001111111100011100000000000000000000111111111100001110000000000000000000000011111111100011000",
  795. [6] = "111100000011111111000011111111110000000000000111111111100001111111111000000000000000001111111111000011111111110000000000000000001111111111000011111111110000000000000000001111111110000111111110000000000000011111111000011111111000000000000000001111111111000011111111100000000000000111",
  796. },
  797. [19] = {
  798. [5] = "0000000111100000000000000000000000111111111000111100000000000000000000000011111111110000111100000000000000000000000011111111100001110000000000000000000000011111111110001111000000000000000000000001111111111000011110000000000000000000000111111111100001110000000000000000011111111100001111000000000000",
  799. [6] = "1111100111100000000000000000000000111111111000111100000000000000000000000011111111110000111100000000000000000000000011111111100001110000000000000000000000011111111110001111000000000000000000000001111111111000011110000000000000000000000111111111100001110000000000000000011111111100001111000000000000",
  800. },
  801. [20] = {
  802. [5] = "00000000000000111111111111111111000000000000000011111111111111111000000000000000001111111111111111111100000000000000000001111111111111111111100000000000000000000111111111111111111100000000000000000000111111111111111110000000000000000000011111111111111111110000000000000000000011111111111111111111000000000000000000001111111111111111111100000000",
  803. [6] = "00000000000000111111111111111111000000000000000011111111111111111000000000000000001111111111111111111100000000000000000001111111111111111111100000000000000000000111111111111111111100000000000000000000111111111111111110000000000000000000011111111111111111110000000000000000000011111111111111111111000000000000000000001111111111111111111100000000",
  804. },
  805. [21] = {
  806. [5] = "000000000111111111100000000011111111000000000111111111100000000001111111111000000000011111111100000000001111111111000000000111111111100000000001111111111000000000011111111110000000000111111111000000000000",
  807. [6] = "011111111000000000011111111100000000111111111000000000011111111110000000000111111111100000000011111111110000000000111111111000000000011111111110000000000111111111100000000001111111111000000000111111111111",
  808. },
  809. [22] = {
  810. [5] = "000001111111110000000000111111111100000000111111111100000000001111111110000000001111111111000000000011111111110000000000111111111000000001111111111000000000111111111100000000001111111111000000000011111111110000000",
  811. [6] = "111111111111110000000000111111111100000000111111111100000000001111111110000000001111111111000000000011111111110000000000111111111000000001111111111000000000111111111100000000001111111111000000000011111111110000000",
  812. },
  813. [23] = {
  814. [5] = "00000111111000001111110000011111000011111000001111100000011111100000111111000000111111000000111111000000111111000000111111000001111110000001111110000001111110000001111110000001111110000001111110000001111110000001111110000001111110000001111110000001111110000",
  815. [6] = "01111000000111110000001111100000111100000111110000011111100000011111000000111111000000111111000000111111000000111111000000111110000001111110000001111110000001111110000001111110000001111110000001111110000001111110000001111110000001111110000001111110000001111",
  816. },
  817. [24] = {
  818. [5] = "0111110000011111100000111111000000111000011111000001111110000001111110000001111110000001111110000001111110000001111100000011111100000111111000000111111000001111100000011111100000011111100000111111000001111110000001111100000111111000001111110000001111110000001111100000011111100000111111000000",
  819. [6] = "1111110000011111100000111111000000111000011111000001111110000001111110000001111110000001111110000001111110000001111100000011111100000111111000000111111000001111100000011111100000011111100000111111000001111110000001111100000111111000001111110000001111110000001111100000011111100000111111000000",
  820. },
  821. [25] = {
  822. [5] = "01110011011110000000000000000000000111011110111011110000000000000000000000001111001111001111001111000000000000000000000000001111001111001111001111000000000000000000000000011111111111111111110000000000000000001111111111111111111000000000000000000001111111111111111111100000000000000000000000000",
  823. [6] = "00000000000000011110011110011110110000000000000000000111100111100111100111100000000000000000000000001111001111001111001111000000000000000000000000001111011110011110011110000000000000000000001111111111111111110000000000000000000111111111111111111110000000000000000000011111111111111111111111111",
  824. },
  825. [26] = {
  826. [5] = "001111011100111100000000000000000000000011101110011110011110000000000000000000000000011110011110011110011110000000000000000000000001110111100111100111100000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100111100111100111100000000000000000000000001110011101100111100000000000000000000000011100111101111001110000000000000000000000011110011110011110011110000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110011110011100111100000000000000000000000111111",
  827. [6] = "001111011100111100000000000000000000000011101110011110011110000000000000000000000000011110011110011110011110000000000000000000000001110111100111100111100000000000000000000000011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100111100111100111100000000000000000000000001110011101100111100000000000000000000000011100111101111001110000000000000000000000011110011110011110011110000000000000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110011110011100111100000000000000000000000111111",
  828. },
  829. [27] = {
  830. [5] = "11111111100000000000000000001111111111111110000000000000000111111111111111110000000000000000111111111111110000000000000000111111111111110000000000000111111100000000011111111000000001111110000011100001100110111100001111110000001111111000000001111111111000000000011111111111100000000000111111111111100000000000011111111111110000000000000001111111111111110000000000000001111111111111110000000000000111111111111111100000000000000011111111111111000000",
  831. [6] = "11111111111111111111111111110000000000000001111111111111111000000000000000001111111111111111000000000000001111111111111111000000000000001111111111111000000011111111100000000111111110000001111100011110011001000011110000001111110000000111111110000000000111111111100000000000011111111111000000000000011111111111100000000000001111111111111110000000000000001111111111111110000000000000001111111111111000000000000000011111111111111100000000000000111111",
  832. },
  833. [28] = {
  834. [5] = "00000000011111111111111100000000000011111111111100000000001111111111111100000000000111111111111100000000000111111110000000001111111100000011111110000111111000111001011001110001111000000011111110000000111111100000000111111110000000000001111111111111000000000000011111111111111100000000000011111111111110000000000000001111111111111100000000000011111111111100000000000000011111111110000000011111111100000001111111100000000111111100000000",
  835. [6] = "11111111111111111111111100000000000011111111111100000000001111111111111100000000000111111111111100000000000111111110000000001111111100000011111110000111111000111001011001110001111000000011111110000000111111100000000111111110000000000001111111111111000000000000011111111111111100000000000011111111111110000000000000001111111111111100000000000011111111111100000000000000011111111110000000011111111100000001111111100000000111111100000000",
  836. },
  837. [29] = {
  838. [5] = "00000000000000111111110000000000000111111000000000000001111110000000000000011111111000000000000000111111100000000000000011111111000000000000001111111000000000000000111111000000000011111000000000000011111100000000000001111111100000000000001111110000000000000011111111110",
  839. [6] = "00001111110000000000000001111110000000000001111111100000000000000111111100000000000000011111110000000000000001111111000000000000000011111100000000000000111111110000000000001111100000000000011111100000000000011111110000000000000011111110000000000001111111100000000000000",
  840. },
  841. [30] = {
  842. [5] = "000000001111110000000000111110000000000011111100000000000011111100000000000000111111000000000000011111100000000011111100000000000000111111000000000000011111111000000000000111111100000000000011111111000000000000000111101",
  843. [6] = "000000001111110000000000111110000000000011111100000000000011111100000000000000111111000000000000011111100000000011111100000000000000111111000000000000011111111000000000000111111100000000000011111111000000000000000111111",
  844. },
  845. [31] = {
  846. [5] = "1100111001110000000000000000001110011101110111000000000000000011110011110011110011110000000000000000001111011110011110011110000000000000000111100111100111100111100000000000000000011110011110011110011110000000000000000011100111100111100111100000000000000000011110011110011110011110000000000000000001111001111100111100111100000000000000000111100111100111001111000000000000000111101111001111001111000000000000000000111100111100111100111100000000000000000000111100111100111100111100000000000000001111001111001111000111100000000000000000011110011110011110011110000000000000000001111001111100111100000",
  847. [6] = "1111111111110011110011110011110000000000000111001111011110011100000000000000000011110011110011110011110000000000000000011110011100111001111000000000000000000111100111100111100111100000000000000000011110011110011110011100000000000000000111100111100111100111100000000000000000011110011110011110011110000000000000000000111100111101111001111000000000000000001111011110011110111000000000000000001111001111001111001111000000000000000000111100111100011111001111000000000000000000111100111101110011110000000000000000000111100111100111100111100000000000000000011110011110011110011110000000000000000000000",
  848. },
  849. [32] = {
  850. [5] = "000000000100000000000000111111111111111100000000000001111111111111111110000000000000111111111111111100000000000000011111111111111111000000000000001111111111111110000000000001111111111111100000000000000011111111111111110000000000000011111111111111111100000000000011111111111111100000000000000001111111111111111110",
  851. [6] = "000000000111111111111111110000000000000111111111111111100000000000000111111111111111110000000000001111111111111111110000000000000001111111111111111100000000000011111111111111100000000000111111111111111110000000000000111111111111111111000000000000001111111111111110000000000000111111111111111111100000000000000000",
  852. },
  853. [33] = {
  854. [5] = "0111011001110000000000000000000001100011101110111000000000000000000000000011100001110011100111100000000000000000000000000001110001111001111011110000000000000000000000000000111100001111001111001111000000000000000000000000000011110000111100111100111100000000000000000000000000011110000111100111100111100000000000000",
  855. [6] = "0000000000000011100011110011101100000000000000000001110000111001110011110000000000000000000000000111100001111001111001111000000000000000000000000011110000111100111100111100000000000000000000000000001111000011110011110011110000000000000000000000000000111100001111001111011110000000000000000000000000000111100011100",
  856. },
  857. [34] = {
  858. [5] = "011011011100000000000000000000000011000111011110111100000000000000000000000011100001111001111001110000000000000000000000000111000011110011110011100000000000000000000000011110000111100111100111100000000000000000000000000011110000111100111101111000000000000000000000000000011110000110111001110000000000000000000111001110111100111000",
  859. [6] = "011011011100000000000000000000000011000111011110111100000000000000000000000011100001111001111001110000000000000000000000000111000011110011110011100000000000000000000000011110000111100111100111100000000000000000000000000011110000111100111101111000000000000000000000000000011110000110111001110000000000000000000111001110111100111000",
  860. },
  861. [35] = {
  862. [5] = "0011110110000000000000000000011100111100111110001110011100111100000000000000000000000111001111001111111100011110111100111100000000000000000000011110011110011111111000111100111100111100000000000000000000001110011110011111111000011110111101111000000000000000000000011100111101111111100001110011110011110000000000000000000000011100111100111111110000111100111100111100000000000000000000000111001110011111000011110011100111100000000000000000011110011100111111000011110011110011110000000000000000000000111001111001111111000011110",
  863. [6] = "0000000000001111011100111100011100111100111110000000000000000000001111001111011110000111001111001111111100000000000000000000001111011100111100011110011110011111111000000000000000000000001110011100111100001110011110011111111000000000000000000000111001111001111000011100111101111111100000000000000000000001111001111001111000011100111100111111110000000000000000000000001111001111001110000111001110011111000000000000000000000001101111011000011110011100111111000000000000000000000001111011110011110000111001111001111111000000000",
  864. },
  865. [36] = {
  866. [5] = "11110011100110000000000011110011101101110000000000011110011110011100111100000000000001110011110011100111000000000000001111001111001111001111000000000000001111001111001111001111000000000000001110011110011110011110000000000000011110011111001110011110000000000000011110011110011110011110000000000000111101111001111100111100000000000000111100111100111100111100000000000001111011100111100111100000000000001111001111001111011100000000000001111001111001111011110000000",
  867. [6] = "00000011100110111100111000000000001101110111001110000000000000011100111101111001111000000000000011100111001111001111000000000000001111001111001111001111000000000000001111001111001111001111000000000000011110011110011110011110000000000000001110011110011110011110000000000000011110011110011100111100000000000001111100111100111100111100000000000000111100111100111101111000000000000111100111100111001111000000000000001111011100111100111000000000000001111011110011110",
  868. },
  869. [37] = {
  870. [5] = "0011110011110011111111111000000000000000000000011110001111001111001111111111000000000000000000000111100011110011101111111111100000000000000000000000011110000111100111100111111111110000000000000000000000111000011110011110011111111111100000000000000000000001110001110111100111111111100000000000000000000001110000111001111001111111111110000000000000000000111100001110111101111111111100000000000000000000011100011100111011111",
  871. [6] = "0011110011110011111111111000000000000000000000011110001111001111001111111111000000000000000000000111100011110011101111111111100000000000000000000000011110000111100111100111111111110000000000000000000000111000011110011110011111111111100000000000000000000001110001110111100111111111100000000000000000000001110000111001111001111111111110000000000000000000111100001110111101111111111100000000000000000000011100011100111011111",
  872. },
  873. [38] = {
  874. [5] = "11111111111111100000111111000011111000001111110000001111110000000000000000000001111100000011111100000011111100000011111100000011111111111111111111100000011111100000011111100000011110000011111110000000000000",
  875. [6] = "11111111111111111111000000111100000111110000001111110000001111111111111111111110000011111100000011111100000011111100000011111100000000000000000000011111100000011111100000011111100001111100000001111111111111",
  876. },
  877. [39] = {
  878. [5] = "0111000000000000111011110000000111001111000000000001111000111100000000000000111101100000001111011110000000000011100111100000000000000111100111100000000111100111100000000000011111001111000000000000001111001111000000011110111100000000000001111001111000000000000001111001111000000011110001111000000000000111100111100000000000000111100111100000000111100111110000000000001111001111000000000000001111001110000000011101111000000000000000111000000000000011110011100000000111101110000000000111100111100000000000001111011110000000000000000000000000000000011100000000",
  879. [6] = "0000001111011100111011110000000111001111000000000000000000000000111100111100111101100000001111011110000000000000000000000111100111100111100111100000000111100111100000000000000000000000001111001111001111001111000000011110111100000000000000000000000001111001111001111001111000000011110001111000000000000000000000000111100111100111100111100000000111100111110000000000000000000000001111001111001111001110000000011101111000000000000000000000000000000000000011100000000000001110000000000000000000000000000000001111011110000000011100000000000000000000000000111111",
  880. },
  881. [40] = {
  882. [5] = "000000011111100000000000000000000110000001111110000000000000000000111111000000111111100000000000000000000011000000011111100000000000000000000011000000111111000000000000000000000011000000111111000000000000000000111110000011111100000000000000000000001100000000001110000000000000000000001111111000000000000000000000000000000001111110000001111100000000000000000000011000000011111000000000000000000111110000001111100000000000000000001111110000000000011000000000000000000111111000001111111000000000000000000",
  883. [6] = "111111000001111110000000000000000111111000000111111000000000000000000011111100000011111110000000000000000011111110000001111110000000000000000011111100000011111100000000000000000011111100000011111100000000000000000011110000001111110000000000000000000011110000001111111100000000000000000000011111110000000111111000000000000000000111111000001111110000000000000000011111100000001111000000000000000000001111000001111110000000000000000000111111000000011111100000000000000000011111000000000111110000000000000",
  884. },
  885. [41] = {
  886. [5] = "000000000000000000011111111111100000000001111111111100000000000011111111111100000000011110011100000000000000000000000011110000000000000001111110001111000000000000000000001111000000000000000000000000000000011110000000000011110011110000000000000000001111000000000000111100000000000000000000000000000000000000000000000000111111111111110000000000000000000000000000000000000000000000000000000000000000000111100000000000000111100011111000000000000000000000001111000000000000000111100111110000000000000000",
  887. [6] = "000000011111111111100000000000011111111110000000000000000000000000000000000000000000000000000000011111001111000000000000000000000000000000000000000000001111001111000000000000001111100000000000000000000000011110000000000011110011110000000000001111001111000000000000111100111111000000000000000000000000000001111111111111000000000000000000000000000000000000000011111111111110000000000000000000000000000000000111100111100000000000000000000000111110000000000000001111100111100000000000000000000111100000",
  888. },
  889. [42] = {
  890. [5] = "0000000000000000000000000000000001111111100000000000000000000000000111111110000000000000000000000000011111111000000000000000000000000111111110000000000000000000000000011111111100000000000000000000000000111111110000000000000000000000000011111111000000000000000000000000000000000000000000000000000000000000000000001111111100000000000000000000000000111111111100000000000000000000000001111111111000000000000000000000000011111111000000000000000000000000000000000000000000000000000000000000111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
  891. [6] = "0000000000000000000000000000000000000000011111111111111111111111111000000001111111111111111111111111100000000111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111100000000111111111111111111111111111111100000000001111111111111111111111111110000000011111111111111111111111111000000000000000000000000000000000000000000000111111111111111111111111100000000111111111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111000000011111111111111111111",
  892. },
  893. [43] = {
  894. [5] = "000000000000000000000000011111111111111111111000000011111111111111111111111111100000000000011111111111111111111111111100000000001111111111111111111111111110000000011111111111111111111111110000000111111111111111111111111000000000000000000000000000000000000000000011111111111111111111100000000111111111111111111111110000000000000000000000000000000000000000111111111111111111111111000000001111111111111111111111110000000011111111111111111111111100000000000",
  895. [6] = "111111111111111111111111100000000000000000000111111100000000000000000000000000000000000000000000000000000000000000000011111111110000000000000000000000000001111111100000000000000000000000001111111000000000000000000000000111111111000000000000000000000000001111111100000000000000000000011111111000000000000000000000001111111100000000000000000000000011111111000000000000000000000000111111110000000000000000000000000000000000000000000000000000000011111111111",
  896. },
  897. [44] = {
  898. [5] = "0000000000000000000000000000000000000000000000000000011111111111111111111111100000000000000000000000000000000000000000111111111111111111111111000000011111111111111111111110000000111111111111111111111111100000000011111111111111111111111110000000011111111111111111111111110000000001111111111111111111111111000000000011111111111111111111111110000000001111111111111111111111111111000000000111111111111111111111111100000000111111111111111111111110000000111111111111111111111111000000001111111111111111111111110000000000000000000000000000000000000111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000001111111",
  899. [6] = "1111111111111111000000000000000000000000000000000000011111111111111111111111100000000011111111111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111111110000000011111111111111111111111110000000000000000000000000000000000000000000011111111111111111111111110000000001111111111111111111111111111000000000111111111111111111111111100000000111111111111111111111110000000000000000000000000000000000000001111111111111111111111110000000011111111111111111111110000000111111111111111111111100000000111111111111111111111111000000001111111111111111111111100000001111111",
  900. },
  901. [45] = {
  902. [5] = "11111111111111111110000000000000000011111111111111111111111111111100000000000000000011111111111111111111111111111111110000000000000000001111111111111111111111111111111111000000000000000000111111111111111111111111111111111100000000000000000011111111111111111111111111111111110000000000000000000111111111111111111111111111111111100000000000000000011111111111111111111111111111111111000000000000000000000000001111111111111111111111111110000000000000000001111111111111111111111111111111111111110000000",
  903. [6] = "11111111111111111111111111111111111111111100000000000000000000000011111111111111111111111111000000000000000000000000001111111111111111111111111100000000000000000011111111111111111111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111100000000000000000000000000011111111111111111111111111100000000000000000000000000000000000000000000111111110000000000000000001111111111111111111111111111111111111100000000000000000000000000001111111",
  904. },
  905. [46] = {
  906. [5] = "0011111000000000000000000000000111100111110000000000000000000000000000001111110000000000000000000000000111100111111100000000000000000000000111100111111000000000000000000000000000000011111100000000000000000000000000111100111111000000000000000000000000000000000000000000000000000000000000001111000000000000000000000000000000001111011111000000000000000000000000111100111111000000000000000000000000000011111000000000000000000000000111100111110000000000000000000000001111001111100000000000000000000000011100000000000000000000000000000011110000000000000000000000000000000111100111111000000",
  907. [6] = "1111001111100000000000000000000000000000111111000000000000000000000000111100111111100000000000000000000000011111000000000000000000000000000000011110000000000000000000000000000000001111000000000000000000000000000000000011110011111100000000000000000000000000000011111110000000000000000000000000111001111110000000000000000000000000110000000000000000000000000000000000000011111100000000000000000000000000011111100000000000000000000000011110111111000000000000000000000000111100111110000000000000000000000000000011111100000000000000000000000000001111110000000000000000000000011110011111111",
  908. },
  909. [47] = {
  910. [5] = "00000001111000000000011100001100110000000011000011011110000000000000000000000000000000000000000000000000000000000000011110000000000000000000000111100001100000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000110001100111100000000001111000011001111000000000110",
  911. [6] = "00000000000000000000000000000000000000000000000000000000000000011000000000000011110000110011110000000000000000000111100000000000000000000000000000000000000000000000000000000000000000000011110000110011110000000000000000011011110000000011110000000011000000000000000000000000000000000000000000000000000",
  912. },
  913. [48] = {
  914. [5] = "000000000000000000000000000000000000000000000000000000000000000000011000000000000000011110000110000000000000001111000110011110000000000000000000000000000000000000000000000000000000000000000000000000000110011100000000011110000000011110000000000111000110011110000000000000000000000000000000000000000000000000000000000000000000000000000000",
  915. [6] = "000000000000000000000000000000000000000000000000000000000001111000000000000000000000000000000110011110000000000000000110011110000000000000000000000000000000000000000000000000000000000000000000011110000000011100000000000000000110011110000000000111000110011110000000000000000000000000000000000000000000000000000000000000000011110000000000",
  916. },
  917. [49] = {
  918. [5] = "000000000000000000000000000000111111111111110000000000000000000000000000000000000000000000000111111111111000000000000000000000000000000000000111111111100000000000000000000000000000000000000111100001111001111 011110011110011110000000000000000000000000001111000011111100000011111110000000000000000000000000000000000000000000000000000111111111111000000000001111111111111111110000000000000000000000000000000000000000000000000000111111111111111111110000000000000000000111111111111111111000000000000000000111111111111111000000000000000111111111111100000000000000000000000000000000000001111111111100000000001111111000000001111110001111000111001110011110011110011110000000000000000000000000000111000011111000001111111100000000111111111000000000000000000000000000000000111111111111110000000000000111111111111111100000000000000011111111111111111100000000000000000001111111111111111",
  919. [6] = "000000000011111111111111111111000000000000000000000000000000000000000000000000111111111111111000000000000000000000000000000000000001111111111000000000011111111110000000011111111000000000000000011110000000000 000000000000000000111001111001110011110011110000111100000011111100000001111111100000000001111111111000000000000111111111111000000000000111111111110000000000000000001111111111111111100000000000000000011111111111111111000000000000000000001111111111111111111000000000000000000111111111111111111000000000000000111111111111111000000000000011111111111110000000000001111111111110000000000011111111110000000111111110000001110000111000000000000000000000000000111001111001111001111000000000111100000000000000000011111111000000000111111111000000000000111111111111000000000000001111111111111000000000000000000000000000000000000000000000000011111111111111111110000000000000000",
  920. },
  921. [50] = {
  922. [5] = "111111111111111111111111111111100000000000001111111111111111100000000000000001111111111111111000000000000111111111111111000000000011111111111100000000001111111111000000000000000000000001111100001111000000000000000000000000000000000000001111000000001111000000000000111111000000001111111100000000001111110000000000011111111111100000000000000000000000000000000000000000001111111111111110000000000000000001111111111111111100000000000000000000000000000000000000000000000000000011111111111111111100000000000001111111111110000000000111111110000001111111000000011111111100000011110001111000000000000000000000000000000001111001111001111111",
  923. [6] = "000000000000011111111111111111100000000000001111111111111111100000000000000001111111111111111000000000000111111111111111000000000000000000000000000000000000000000000000001111111110000001111100000000000000000000000000000000000011110011000000001111001111000111000000000000000000000000000000000000001111110000000000011111111111100000000000000111111111111110000000000000001111111111111110000000000000000001111111111111111100000000000000000000000000000000000000000000000000000011111111111111111100000000000001111111111110000000000111111110000001111111000000000000000000000000000001111001111000000000000000000000000000000000000000000000",
  924. },
  925. [51] = {
  926. [5] = "11100011111111000000000000000000111111100011111100000000000000000000000000000000111111111100000000000000000000000000000000001111111111110000000000000011111111111111100000000000000111111111111111100000000000000000000000000000000111111111111111100000000000000000000000000000000000000000000000000000000000000000000011111111000011111110000000000000000000011111111000111111000000000000000000000000000000000000000000000000000111111111111000000000011111111110000000000000111111111111110000000000000111111111111111000000000000000000000000000000000000000000000000000111111111111110000000000000000111111111",
  927. [6] = "00000000000000111111100001111111000000000000000011111111000011111111000000000000111111111100000000000111111111111000000000001111111111110000000000000000000000000000000000000000000000000000000000011111111111111000000000000000000111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111100001111111100000000000000000111111100001111110000000000111111111111000000000000111111111111000000000011111111110000000000000000000000000000000000000000000000000000000111111111111100000000000000000000000000000000000000111111111111110000000000000000111111111",
  928. },
  929. [52] = {
  930. [5] = "0000001111110000011111000000000000000011111100000011111100000000000000000000000000011111000000111100111100111001111001111001111001111001111001111001111000011111100000011111000000111111000000000000000011111100000011111100000011111100000011111100000011111100000011111100000011110011110000001111000000001111000000001111001111000000000000000000000000000000000011111100000011111100000000000000000000000000000000000000000011111100000000000000000111111000000000000111001110011110011110011110011110011000000001111000011111100000000000000000000000000000111111000000111110000001111110000001111110000",
  931. [6] = "1111110000001111100000000000000011111100000011111100000011111100000011111100000111100000000000000000000000000000000001111000000001111001111001111001111000000000011111100000111111000000111110000001111100000000000000000011111100000011111100000011111100000000000000000011111111110000000011101111000000001111001111001111001111001111000000000011111100000011111100000000000000000011111100000000000000000011111100000011111100000000000000000111111000000111111111100111000000000000011110011110011110011001111001111000000000011111100000111111000000111111000000000000000001111110000001111110000001111",
  932. },
  933. [53] = {
  934. [5] = "11110000001111100000011111000001110000011110001111100000011111100000011111000000000000000000000000000111100111000000001111001111001111001111000000000111110000001111110000000000000000111111000000111111000000111110000111110000001111100001111100000111111000000000000111100111011100111100000001111001111000000011000000000000000011111100000111110000001111110000001111110001111110000001111110000001111100001111110000001111100000000000011100111100111100111100111100111100111100111100111100001111100000000000000000111111000000111110000001111110000001111100000000000000011111100000000000000000011111000000000",
  935. [6] = "11110000001111100000000000000001110000000000001111100000011111100000011111000011111000000000000111100111100111001111001111001111001111000000000000000111110000001111110000000000000000111111000000111111000000000000000111110000001111100001111100000111111000000000000000000111000000000000000000000000000001111011000011111100000011111100000111110000001111110000001111110000000000000001111110000001111100001111110000001111100000011110000000111100111100000000000000000000111100111100000000001111100000011111000000111111000000000000000001111110000001111100000011110000000000000000011111100000011111000000000",
  936. },
  937. }
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement