Advertisement
Guest User

Untitled

a guest
Jun 17th, 2019
87
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 1.29 KB | None | 0 0
  1. library IEEE; -- dołączenie standardowej biblioteki IEEE
  2. use IEEE.STD_LOGIC_1164.all; -- dołączenie pakietu 'STD_LOGIC_1164' z biblioteki IEEE
  3. -- definiującego popularne stany logiczne
  4. entity dffr is -- opis interfejsu przerzutnika (połączeń z otoczeniem)
  5. port(
  6. CLK : in STD_LOGIC; -- wejście zegarowe
  7. RESET : in STD_LOGIC; -- wejście kasowania
  8. DIN : in STD_LOGIC; -- wejście danych
  9. DOUT : out STD_LOGIC -- wyjście danych
  10. );
  11. end dffr;
  12.  
  13. architecture behavior of dffr is -- opis wnętrza przerzutnika (w tym przypadku
  14. begin -- jest to opis behawioralny)
  15.  
  16. dffar: process (CLK, RESET) -- proces z listą czułości (sensitivity list)
  17. begin
  18. if rising_edge(CLK) then -- wykrywanie narastającego zbocza zegara
  19. if RESET='1' then -- kasowanie stanem wysokim wejścia RESET
  20. DOUT <= '0'; -- przypisanie stałej to sygnału wyjściowego
  21. elsif CLK='1' then
  22. DOUT <= '0';
  23. else
  24. DOUT <= DIN; -- przypisanie stanu wejścia do sygnału wyjściowego
  25. end if;
  26. end if;
  27. end process;
  28.  
  29. end behavior;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement