Advertisement
Guest User

Untitled

a guest
Feb 16th, 2019
88
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.98 KB | None | 0 0
  1. `timescale 1ns / 1ps
  2.  
  3. module Mux_structural(
  4. input s0,
  5. input s1,
  6. input i0,
  7. input i1,
  8. input i2,
  9. input i3,
  10. output reg d
  11. );
  12.  
  13. always @(s0, s1, i0, i1, i2, i3)
  14. begin
  15.  
  16. d=1'b0;
  17.  
  18. case ({s1,s0,i3,i2,i1,i0})
  19. 4'b000001 : d = 1'b1;
  20. default: begin
  21. d=1'b0;
  22. end
  23. endcase
  24.  
  25. case ({s1,s0,i3,i2,i1,i0})
  26. 4'b010010 : d = 1'b1;
  27. default: begin
  28. d=1'b0;
  29. end
  30. endcase
  31.  
  32. case ({s1,s0,i3,i2,i1,i0})
  33. 4'b100100 : d = 1'b1;
  34. default: begin
  35. d=1'b0;
  36. end
  37. endcase
  38.  
  39. case ({s1,s0,i3,i2,i1,i0})
  40. 4'b111000 : d = 1'b1;
  41. default: begin
  42. d=1'b0;
  43. end
  44. endcase
  45. end
  46.  
  47. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement