Advertisement
RobertBerger

blinky

Nov 5th, 2021
203
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.33 KB | None | 0 0
  1. void vTaskLEDBlinker(void *pvParameters) {
  2. //led_red_init();
  3. for (;;) {
  4. led_red_invert();
  5. vTaskDelay(1000 / portTICK_RATE_MS);
  6. led_red_invert();
  7. led_green_invert();
  8. vTaskDelay(1000 / portTICK_RATE_MS);
  9. led_green_invert();
  10. led_blue_invert();
  11. vTaskDelay(1000 / portTICK_RATE_MS);
  12. led_blue_invert();
  13. }
  14. }
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement