Advertisement
Guest User

Untitled

a guest
Jul 5th, 2019
154
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
SCL 1.59 KB | None | 0 0
  1. CASE #iKrok OF
  2.     0:  //  Krok inicjalizacji
  3.         "Akcja_0"();
  4.         //warunek tranzycji
  5.         IF #Start THEN
  6.             #iKrok := 10;
  7.         END_IF;
  8.     10:  //  Krok 10
  9.         "Akcja_10"();
  10.         //warunek tranzycji        
  11.         IF #WarunekTranzycji10 THEN
  12.             #iKrok := 15;
  13.         END_IF;
  14.     15:  //  Krok 20, 30
  15.         "Akcja_20"();
  16.         "Akcja_30"();
  17.         //warunek tranzycji        
  18.         IF #WarunekTranzycji20 AND #WarunekTranzycji30 THEN
  19.             #iKrok := 40;
  20.         END_IF;
  21.     40:  //  Krok 40
  22.         "Akcja_40"();
  23.         //warunek tranzycji        
  24.         IF #WarunekTranzycji40 THEN
  25.             #iKrok := 0;
  26.         END_IF;
  27. END_CASE;
  28.  
  29. CASE #iKrok OF
  30.     0:  //  Krok inicjalizacji
  31.         "Akcja_0"();
  32.         //warunek tranzycji
  33.         IF #Start THEN
  34.             #iKrok := 10;
  35.         END_IF;
  36.     10:  //  Krok 10
  37.         "Akcja_10"();
  38.         //warunek tranzycji        
  39.         IF #WarunekTranzycji10 THEN
  40.             #iKrok := 15;
  41.             #iKrokSubSekwencji := 20;
  42.         END_IF;
  43.     15:  //  Krok 20, 30, 40
  44.         CASE #iKrokSubSekwencji OF  //sekwencja zagnieżdżona
  45.             20:
  46.                 "Akcja_20"();
  47.                 IF #WarunekTranzycji20 THEN
  48.                     #iKrokSubSekwencji := 40;
  49.                 END_IF;
  50.             40:
  51.                 "Akcja_40"();
  52.         END_CASE;
  53.        
  54.         "Akcja_30"();
  55.         //warunek tranzycji        
  56.         IF #WarunekTranzycji30 AND (#iKrokSubSekwencji=40 AND #WarunekTranzycji40) THEN
  57.             #iKrok := 0;
  58.         END_IF;
  59. END_CASE;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement