Advertisement
Guest User

Untitled

a guest
Apr 25th, 2019
63
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.81 KB | None | 0 0
  1. `timescale 1ns/1ps
  2.  
  3. module test();
  4.  
  5. parameter data_w=8,addr_w=5;
  6.  
  7. reg clock,reset,write_enable,read_enable;
  8. reg [data_w-1:0] data_in;
  9. wire empty,full;
  10. wire [addr_w:0] fifo_counter;
  11. wire [data_w-1:0] data_out;
  12.  
  13. initial begin
  14. clock=0;
  15. forever #1 clock=!clock;
  16. end
  17.  
  18. integer idx;
  19.  
  20. initial begin
  21. reset=0;
  22. #2
  23. reset=1;
  24. write_enable=1;
  25. for(idx=0;idx<=2**addr_w;idx=idx+1) begin
  26. data_in=idx;
  27. #2;
  28. end
  29. write_enable=0;
  30. read_enable=1;
  31. for(idx=0;idx<=2**addr_w;idx=idx+1) begin
  32. #2;
  33. end
  34. read_enable=0;
  35. #10 $stop();
  36. end
  37.  
  38. fifo #(.data_w(data_w),.addr_w(addr_w)) dut
  39. (.clock(clock),
  40. .reset(reset),
  41. .write_enable(write_enable),
  42. .read_enable(read_enable),
  43. .data_in(data_in),
  44. .empty(empty),
  45. .full(full),
  46. .fifo_counter(fifo_counter),
  47. .data_out(data_out)
  48. );
  49.  
  50. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement