Advertisement
Guest User

Untitled

a guest
Jun 19th, 2019
87
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 6.50 KB | None | 0 0
  1. [ahkana@ahkana-pc ~]$ gdb --args /usr/bin/python3.7 /usr/bin/lutris
  2. GNU gdb (GDB) 8.3
  3. Copyright (C) 2019 Free Software Foundation, Inc.
  4. License GPLv3+: GNU GPL version 3 or later <http://gnu.org/licenses/gpl.html>
  5. This is free software: you are free to change and redistribute it.
  6. There is NO WARRANTY, to the extent permitted by law.
  7. Type "show copying" and "show warranty" for details.
  8. This GDB was configured as "x86_64-pc-linux-gnu".
  9. Type "show configuration" for configuration details.
  10. For bug reporting instructions, please see:
  11. <http://www.gnu.org/software/gdb/bugs/>.
  12. Find the GDB manual and other documentation resources online at:
  13. <http://www.gnu.org/software/gdb/documentation/>.
  14.  
  15. For help, type "help".
  16. Type "apropos word" to search for commands related to "word"...
  17. Reading symbols from /usr/bin/python3.7...
  18. (No debugging symbols found in /usr/bin/python3.7)
  19. (gdb) r
  20. Starting program: /usr/bin/python3.7 /usr/bin/lutris
  21. [Thread debugging using libthread_db enabled]
  22. Using host libthread_db library "/usr/lib/libthread_db.so.1".
  23. [Detaching after fork from child process 3608]
  24. [Detaching after fork from child process 3610]
  25. [Detaching after fork from child process 3611]
  26. [New Thread 0x7ffff3794700 (LWP 3612)]
  27. [New Thread 0x7ffff2f93700 (LWP 3613)]
  28. [Detaching after fork from child process 3614]
  29. [Detaching after fork from child process 3615]
  30. 2019-06-19 19:47:06,077: Running Lutris 0.5.2.1
  31. 2019-06-19 19:47:06,077: Using NVIDIA drivers 430.26 for x86_64
  32. 2019-06-19 19:47:06,077: GPU: GeForce GTX 1060 6GB
  33. 2019-06-19 19:47:06,078: GPU: 10DE:1C03 1043:8618 using nvidia drivers
  34. 2019-06-19 19:47:06,194: Vulkan is supported
  35. [New Thread 0x7ffff2106700 (LWP 3616)]
  36. 2019-06-19 19:47:06,195: Updating DXVK versions
  37.  
  38. Thread 1 "python3.7" received signal SIGSEGV, Segmentation fault.
  39. 0x00007ffff3b70cfe in ImagingResampleHorizontalConvolution8u4x () from /usr/lib/python3.7/site-packages/PIL/_imaging.cpython-37m-x86_64-linux-gnu.so
  40. (gdb) bt
  41. #0 0x00007ffff3b70cfe in ImagingResampleHorizontalConvolution8u4x () at /usr/lib/python3.7/site-packages/PIL/_imaging.cpython-37m-x86_64-linux-gnu.so
  42. #1 0x00007ffff3b71bbf in ImagingResampleHorizontal_8bpc () at /usr/lib/python3.7/site-packages/PIL/_imaging.cpython-37m-x86_64-linux-gnu.so
  43. #2 0x00007ffff3b71f96 in ImagingResampleInner () at /usr/lib/python3.7/site-packages/PIL/_imaging.cpython-37m-x86_64-linux-gnu.so
  44. #3 0x00007ffff3b72203 in ImagingResample () at /usr/lib/python3.7/site-packages/PIL/_imaging.cpython-37m-x86_64-linux-gnu.so
  45. #4 0x00007ffff3b69ff4 in () at /usr/lib/python3.7/site-packages/PIL/_imaging.cpython-37m-x86_64-linux-gnu.so
  46. #5 0x00007ffff7b98e68 in _PyMethodDef_RawFastCallKeywords () at /usr/lib/libpython3.7m.so.1.0
  47. #6 0x00007ffff7bc50ef in _PyMethodDescr_FastCallKeywords () at /usr/lib/libpython3.7m.so.1.0
  48. #7 0x00007ffff7c098b3 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.7m.so.1.0
  49. #8 0x00007ffff7b51d09 in _PyEval_EvalCodeWithName () at /usr/lib/libpython3.7m.so.1.0
  50. #9 0x00007ffff7b98882 in _PyFunction_FastCallKeywords () at /usr/lib/libpython3.7m.so.1.0
  51. #10 0x00007ffff7c05032 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.7m.so.1.0
  52. #11 0x00007ffff7b51d09 in _PyEval_EvalCodeWithName () at /usr/lib/libpython3.7m.so.1.0
  53. #12 0x00007ffff7b98882 in _PyFunction_FastCallKeywords () at /usr/lib/libpython3.7m.so.1.0
  54. #13 0x00007ffff7c05f9c in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.7m.so.1.0
  55. #14 0x00007ffff7b51d09 in _PyEval_EvalCodeWithName () at /usr/lib/libpython3.7m.so.1.0
  56. #15 0x00007ffff7b98882 in _PyFunction_FastCallKeywords () at /usr/lib/libpython3.7m.so.1.0
  57. #16 0x00007ffff7c0522d in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.7m.so.1.0
  58. #17 0x00007ffff7b986db in _PyFunction_FastCallKeywords () at /usr/lib/libpython3.7m.so.1.0
  59. #18 0x00007ffff7c0522d in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.7m.so.1.0
  60. #19 0x00007ffff7b986db in _PyFunction_FastCallKeywords () at /usr/lib/libpython3.7m.so.1.0
  61. #20 0x00007ffff7c05032 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.7m.so.1.0
  62. #21 0x00007ffff7b51d09 in _PyEval_EvalCodeWithName () at /usr/lib/libpython3.7m.so.1.0
  63. #22 0x00007ffff7b52f8c in _PyFunction_FastCallDict () at /usr/lib/libpython3.7m.so.1.0
  64. #23 0x00007ffff7b62818 in _PyObject_Call_Prepend () at /usr/lib/libpython3.7m.so.1.0
  65. #24 0x00007ffff7bb10e3 in () at /usr/lib/libpython3.7m.so.1.0
  66. #25 0x00007ffff7bc539c in _PyObject_FastCallKeywords () at /usr/lib/libpython3.7m.so.1.0
  67. #26 0x00007ffff7c0a4b1 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.7m.so.1.0
  68. #27 0x00007ffff7b51d09 in _PyEval_EvalCodeWithName () at /usr/lib/libpython3.7m.so.1.0
  69. #28 0x00007ffff7b52f8c in _PyFunction_FastCallDict () at /usr/lib/libpython3.7m.so.1.0
  70. #29 0x00007ffff7b62818 in _PyObject_Call_Prepend () at /usr/lib/libpython3.7m.so.1.0
  71. #30 0x00007ffff7bb10e3 in () at /usr/lib/libpython3.7m.so.1.0
  72. #31 0x00007ffff7bc539c in _PyObject_FastCallKeywords () at /usr/lib/libpython3.7m.so.1.0
  73. #32 0x00007ffff7c0a4b1 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.7m.so.1.0
  74. #33 0x00007ffff7b52dbb in _PyFunction_FastCallDict () at /usr/lib/libpython3.7m.so.1.0
  75. #34 0x00007ffff7224a34 in () at /usr/lib/python3.7/site-packages/gi/_gi.cpython-37m-x86_64-linux-gnu.so
  76. #35 0x00007ffff704d4bb in ffi_closure_unix64_inner () at /usr/lib/libffi.so.6
  77. #36 0x00007ffff704d838 in ffi_closure_unix64 () at /usr/lib/libffi.so.6
  78. #37 0x00007ffff7084e55 in g_closure_invoke () at /usr/lib/libgobject-2.0.so.0
  79. #38 0x00007ffff70716f0 in () at /usr/lib/libgobject-2.0.so.0
  80. #39 0x00007ffff70760ce in g_signal_emit_valist () at /usr/lib/libgobject-2.0.so.0
  81. #40 0x00007ffff7076fb0 in g_signal_emit () at /usr/lib/libgobject-2.0.so.0
  82. #41 0x00007ffff704d6d0 in ffi_call_unix64 () at /usr/lib/libffi.so.6
  83. #42 0x00007ffff704d0a0 in ffi_call () at /usr/lib/libffi.so.6
  84. #43 0x00007ffff721b664 in () at /usr/lib/python3.7/site-packages/gi/_gi.cpython-37m-x86_64-linux-gnu.so
  85. #44 0x00007ffff721ac4c in () at /usr/lib/python3.7/site-packages/gi/_gi.cpython-37m-x86_64-linux-gnu.so
  86. #45 0x00007ffff72204fb in () at /usr/lib/python3.7/site-packages/gi/_gi.cpython-37m-x86_64-linux-gnu.so
  87. #46 0x00007ffff7bc562c in _PyObject_FastCallKeywords () at /usr/lib/libpython3.7m.so.1.0
  88. #47 0x00007ffff7c0a0db in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.7m.so.1.0
  89. #48 0x00007ffff7b52dbb in _PyFunction_FastCallDict () at /usr/lib/libpython3.7m.so.1.0
  90. #49 0x00007ffff7224a34 in () at /usr/lib/python3.7/site-packages/gi/_gi.cpython-37m-x86_64-linux-gnu.so
  91. #50 0x00007ffff704d4bb in ffi_closure_unix64_inner () at /usr/lib/libffi.so.6
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement