Advertisement
milanmetal

[DS] Validated + implemented DMA x 2

Apr 19th, 2018
179
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
TCL 31.69 KB | None | 0 0
  1. ################################################################
  2. # This is a generated script based on design: design_1
  3. #
  4. # Though there are limitations about the generated script,
  5. # the main purpose of this utility is to make learning
  6. # IP Integrator Tcl commands easier.
  7. ################################################################
  8.  
  9. ################################################################
  10. # Check if script is running in correct Vivado version.
  11. ################################################################
  12. set scripts_vivado_version 2015.4
  13. set current_vivado_version [version -short]
  14.  
  15. if { [string first $scripts_vivado_version $current_vivado_version] == -1 } {
  16.    puts ""
  17.    puts "ERROR: This script was generated using Vivado <$scripts_vivado_version> and is being run in <$current_vivado_version> of Vivado. Please run the script in Vivado <$scripts_vivado_version> then open the design in Vivado <$current_vivado_version>. Upgrade the design by running \"Tools => Report => Report IP Status...\", then run write_bd_tcl to create an updated script."
  18.  
  19.    return 1
  20. }
  21.  
  22. ################################################################
  23. # START
  24. ################################################################
  25.  
  26. # To test this script, run the following commands from Vivado Tcl console:
  27. # source design_1_script.tcl
  28.  
  29. # If you do not already have a project created,
  30. # you can create a project using the following command:
  31. #    create_project project_1 myproj -part xc7z010clg400-1
  32. #    set_property BOARD_PART digilentinc.com:zybo-z7-10:part0:1.0 [current_project]
  33.  
  34. # CHECKING IF PROJECT EXISTS
  35. if { [get_projects -quiet] eq "" } {
  36.    puts "ERROR: Please open or create a project!"
  37.    return 1
  38. }
  39.  
  40.  
  41.  
  42. # CHANGE DESIGN NAME HERE
  43. set design_name design_1
  44.  
  45. # If you do not already have an existing IP Integrator design open,
  46. # you can create a design using the following command:
  47. #    create_bd_design $design_name
  48.  
  49. # Creating design if needed
  50. set errMsg ""
  51. set nRet 0
  52.  
  53. set cur_design [current_bd_design -quiet]
  54. set list_cells [get_bd_cells -quiet]
  55.  
  56. if { ${design_name} eq "" } {
  57.    # USE CASES:
  58.    #    1) Design_name not set
  59.  
  60.    set errMsg "ERROR: Please set the variable <design_name> to a non-empty value."
  61.    set nRet 1
  62.  
  63. } elseif { ${cur_design} ne "" && ${list_cells} eq "" } {
  64.    # USE CASES:
  65.    #    2): Current design opened AND is empty AND names same.
  66.    #    3): Current design opened AND is empty AND names diff; design_name NOT in project.
  67.    #    4): Current design opened AND is empty AND names diff; design_name exists in project.
  68.  
  69.    if { $cur_design ne $design_name } {
  70.       puts "INFO: Changing value of <design_name> from <$design_name> to <$cur_design> since current design is empty."
  71.       set design_name [get_property NAME $cur_design]
  72.    }
  73.    puts "INFO: Constructing design in IPI design <$cur_design>..."
  74.  
  75. } elseif { ${cur_design} ne "" && $list_cells ne "" && $cur_design eq $design_name } {
  76.    # USE CASES:
  77.    #    5) Current design opened AND has components AND same names.
  78.  
  79.    set errMsg "ERROR: Design <$design_name> already exists in your project, please set the variable <design_name> to another value."
  80.    set nRet 1
  81. } elseif { [get_files -quiet ${design_name}.bd] ne "" } {
  82.    # USE CASES:
  83.    #    6) Current opened design, has components, but diff names, design_name exists in project.
  84.    #    7) No opened design, design_name exists in project.
  85.  
  86.    set errMsg "ERROR: Design <$design_name> already exists in your project, please set the variable <design_name> to another value."
  87.    set nRet 2
  88.  
  89. } else {
  90.    # USE CASES:
  91.    #    8) No opened design, design_name not in project.
  92.    #    9) Current opened design, has components, but diff names, design_name not in project.
  93.  
  94.    puts "INFO: Currently there is no design <$design_name> in project, so creating one..."
  95.  
  96.    create_bd_design $design_name
  97.  
  98.    puts "INFO: Making design <$design_name> as current_bd_design."
  99.    current_bd_design $design_name
  100.  
  101. }
  102.  
  103. puts "INFO: Currently the variable <design_name> is equal to \"$design_name\"."
  104.  
  105. if { $nRet != 0 } {
  106.    puts $errMsg
  107.    return $nRet
  108. }
  109.  
  110. ##################################################################
  111. # DESIGN PROCs
  112. ##################################################################
  113.  
  114.  
  115.  
  116. # Procedure to create entire design; Provide argument to make
  117. # procedure reusable. If parentCell is "", will use root.
  118. proc create_root_design { parentCell } {
  119.  
  120.   if { $parentCell eq "" } {
  121.      set parentCell [get_bd_cells /]
  122.   }
  123.  
  124.   # Get object for parentCell
  125.   set parentObj [get_bd_cells $parentCell]
  126.   if { $parentObj == "" } {
  127.      puts "ERROR: Unable to find parent cell <$parentCell>!"
  128.      return
  129.   }
  130.  
  131.   # Make sure parentObj is hier blk
  132.   set parentType [get_property TYPE $parentObj]
  133.   if { $parentType ne "hier" } {
  134.      puts "ERROR: Parent <$parentObj> has TYPE = <$parentType>. Expected to be <hier>."
  135.      return
  136.   }
  137.  
  138.   # Save current instance; Restore later
  139.   set oldCurInst [current_bd_instance .]
  140.  
  141.   # Set parent object as current
  142.   current_bd_instance $parentObj
  143.  
  144.  
  145.   # Create interface ports
  146.   set DDR [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:ddrx_rtl:1.0 DDR ]
  147.   set FIXED_IO [ create_bd_intf_port -mode Master -vlnv xilinx.com:display_processing_system7:fixedio_rtl:1.0 FIXED_IO ]
  148.  
  149.   # Create ports
  150.  
  151.   # Create instance: axi_dma_0, and set properties
  152.   set axi_dma_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_dma:7.1 axi_dma_0 ]
  153.   set_property -dict [ list \
  154. CONFIG.c_include_mm2s {0} \
  155. CONFIG.c_include_sg {0} \
  156. CONFIG.c_s2mm_burst_size {256} \
  157. CONFIG.c_sg_include_stscntrl_strm {0} \
  158. CONFIG.c_sg_length_width {23} \
  159.  ] $axi_dma_0
  160.  
  161.   # Create instance: axi_dma_1, and set properties
  162.   set axi_dma_1 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_dma:7.1 axi_dma_1 ]
  163.   set_property -dict [ list \
  164. CONFIG.c_include_s2mm {0} \
  165. CONFIG.c_include_sg {0} \
  166. CONFIG.c_m_axi_mm2s_data_width {64} \
  167. CONFIG.c_m_axis_mm2s_tdata_width {64} \
  168. CONFIG.c_mm2s_burst_size {256} \
  169. CONFIG.c_sg_include_stscntrl_strm {0} \
  170. CONFIG.c_sg_length_width {23} \
  171.  ] $axi_dma_1
  172.  
  173.   # Create instance: axi_interconnect_0, and set properties
  174.   set axi_interconnect_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_interconnect:2.1 axi_interconnect_0 ]
  175.   set_property -dict [ list \
  176. CONFIG.ENABLE_ADVANCED_OPTIONS {1} \
  177. CONFIG.ENABLE_PROTOCOL_CHECKERS {0} \
  178. CONFIG.NUM_MI {1} \
  179. CONFIG.NUM_SI {2} \
  180. CONFIG.XBAR_DATA_WIDTH {64} \
  181.  ] $axi_interconnect_0
  182.  
  183.   # Create instance: axi_interconnect_1, and set properties
  184.   set axi_interconnect_1 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_interconnect:2.1 axi_interconnect_1 ]
  185.   set_property -dict [ list \
  186. CONFIG.NUM_MI {2} \
  187. CONFIG.NUM_SI {1} \
  188.  ] $axi_interconnect_1
  189.  
  190.   # Create instance: fifo_generator_0, and set properties
  191.   set fifo_generator_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:fifo_generator:13.0 fifo_generator_0 ]
  192.   set_property -dict [ list \
  193. CONFIG.Empty_Threshold_Assert_Value_axis {62} \
  194. CONFIG.Empty_Threshold_Assert_Value_rach {14} \
  195. CONFIG.Empty_Threshold_Assert_Value_wach {14} \
  196. CONFIG.Empty_Threshold_Assert_Value_wrch {14} \
  197. CONFIG.Enable_TLAST {true} \
  198. CONFIG.FIFO_Implementation_rach {Common_Clock_Distributed_RAM} \
  199. CONFIG.FIFO_Implementation_wach {Common_Clock_Distributed_RAM} \
  200. CONFIG.FIFO_Implementation_wrch {Common_Clock_Distributed_RAM} \
  201. CONFIG.Full_Flags_Reset_Value {1} \
  202. CONFIG.Full_Threshold_Assert_Value_axis {63} \
  203. CONFIG.Full_Threshold_Assert_Value_rach {15} \
  204. CONFIG.Full_Threshold_Assert_Value_wach {15} \
  205. CONFIG.Full_Threshold_Assert_Value_wrch {15} \
  206. CONFIG.INTERFACE_TYPE {AXI_STREAM} \
  207. CONFIG.Input_Depth_axis {64} \
  208. CONFIG.Reset_Type {Asynchronous_Reset} \
  209. CONFIG.TDATA_NUM_BYTES {8} \
  210. CONFIG.TKEEP_WIDTH {8} \
  211. CONFIG.TSTRB_WIDTH {8} \
  212.  ] $fifo_generator_0
  213.  
  214.   # Create instance: proc_sys_reset_0, and set properties
  215.   set proc_sys_reset_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:proc_sys_reset:5.0 proc_sys_reset_0 ]
  216.  
  217.   # Create instance: proc_sys_reset_1, and set properties
  218.   set proc_sys_reset_1 [ create_bd_cell -type ip -vlnv xilinx.com:ip:proc_sys_reset:5.0 proc_sys_reset_1 ]
  219.  
  220.   # Create instance: processing_system7_0, and set properties
  221.   set processing_system7_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:processing_system7:5.5 processing_system7_0 ]
  222.   set_property -dict [ list \
  223. CONFIG.PCW_APU_CLK_RATIO_ENABLE {6:2:1} \
  224. CONFIG.PCW_APU_PERIPHERAL_FREQMHZ {667} \
  225. CONFIG.PCW_ARMPLL_CTRL_FBDIV {40} \
  226. CONFIG.PCW_CPU_CPU_PLL_FREQMHZ {1333.333} \
  227. CONFIG.PCW_CPU_PERIPHERAL_CLKSRC {ARM PLL} \
  228. CONFIG.PCW_CPU_PERIPHERAL_DIVISOR0 {2} \
  229. CONFIG.PCW_CRYSTAL_PERIPHERAL_FREQMHZ {33.333333} \
  230. CONFIG.PCW_DCI_PERIPHERAL_CLKSRC {DDR PLL} \
  231. CONFIG.PCW_DCI_PERIPHERAL_DIVISOR0 {35} \
  232. CONFIG.PCW_DCI_PERIPHERAL_DIVISOR1 {3} \
  233. CONFIG.PCW_DCI_PERIPHERAL_FREQMHZ {10.159} \
  234. CONFIG.PCW_DDRPLL_CTRL_FBDIV {32} \
  235. CONFIG.PCW_DDR_DDR_PLL_FREQMHZ {1066.667} \
  236. CONFIG.PCW_DDR_HPRLPR_QUEUE_PARTITION {HPR(0)/LPR(32)} \
  237. CONFIG.PCW_DDR_HPR_TO_CRITICAL_PRIORITY_LEVEL {15} \
  238. CONFIG.PCW_DDR_LPR_TO_CRITICAL_PRIORITY_LEVEL {2} \
  239. CONFIG.PCW_DDR_PERIPHERAL_CLKSRC {DDR PLL} \
  240. CONFIG.PCW_DDR_PERIPHERAL_DIVISOR0 {2} \
  241. CONFIG.PCW_DDR_RAM_HIGHADDR {0x3FFFFFFF} \
  242. CONFIG.PCW_DDR_WRITE_TO_CRITICAL_PRIORITY_LEVEL {2} \
  243. CONFIG.PCW_ENET0_ENET0_IO {MIO 16 .. 27} \
  244. CONFIG.PCW_ENET0_GRP_MDIO_ENABLE {1} \
  245. CONFIG.PCW_ENET0_GRP_MDIO_IO {MIO 52 .. 53} \
  246. CONFIG.PCW_ENET0_PERIPHERAL_CLKSRC {IO PLL} \
  247. CONFIG.PCW_ENET0_PERIPHERAL_DIVISOR0 {8} \
  248. CONFIG.PCW_ENET0_PERIPHERAL_DIVISOR1 {1} \
  249. CONFIG.PCW_ENET0_PERIPHERAL_ENABLE {1} \
  250. CONFIG.PCW_ENET0_PERIPHERAL_FREQMHZ {1000 Mbps} \
  251. CONFIG.PCW_ENET1_PERIPHERAL_CLKSRC {IO PLL} \
  252. CONFIG.PCW_ENET1_PERIPHERAL_DIVISOR0 {1} \
  253. CONFIG.PCW_ENET1_PERIPHERAL_DIVISOR1 {1} \
  254. CONFIG.PCW_ENET1_PERIPHERAL_ENABLE {0} \
  255. CONFIG.PCW_ENET1_PERIPHERAL_FREQMHZ {1000 Mbps} \
  256. CONFIG.PCW_ENET_RESET_ENABLE {0} \
  257. CONFIG.PCW_ENET_RESET_POLARITY {Active Low} \
  258. CONFIG.PCW_ENET_RESET_SELECT {<Select>} \
  259. CONFIG.PCW_EN_4K_TIMER {0} \
  260. CONFIG.PCW_EN_CLK1_PORT {1} \
  261. CONFIG.PCW_EN_RST1_PORT {1} \
  262. CONFIG.PCW_FPGA0_PERIPHERAL_FREQMHZ {100} \
  263. CONFIG.PCW_FPGA1_PERIPHERAL_FREQMHZ {150} \
  264. CONFIG.PCW_GPIO_MIO_GPIO_ENABLE {1} \
  265. CONFIG.PCW_GPIO_MIO_GPIO_IO {MIO} \
  266. CONFIG.PCW_GPIO_PERIPHERAL_ENABLE {0} \
  267. CONFIG.PCW_IOPLL_CTRL_FBDIV {30} \
  268. CONFIG.PCW_IO_IO_PLL_FREQMHZ {1000.000} \
  269. CONFIG.PCW_IRQ_F2P_INTR {1} \
  270. CONFIG.PCW_IRQ_F2P_MODE {DIRECT} \
  271. CONFIG.PCW_MIO_0_DIRECTION {inout} \
  272. CONFIG.PCW_MIO_0_IOTYPE {LVCMOS 3.3V} \
  273. CONFIG.PCW_MIO_0_PULLUP {enabled} \
  274. CONFIG.PCW_MIO_0_SLEW {slow} \
  275. CONFIG.PCW_MIO_10_DIRECTION {inout} \
  276. CONFIG.PCW_MIO_10_IOTYPE {LVCMOS 3.3V} \
  277. CONFIG.PCW_MIO_10_PULLUP {enabled} \
  278. CONFIG.PCW_MIO_10_SLEW {slow} \
  279. CONFIG.PCW_MIO_11_DIRECTION {inout} \
  280. CONFIG.PCW_MIO_11_IOTYPE {LVCMOS 3.3V} \
  281. CONFIG.PCW_MIO_11_PULLUP {enabled} \
  282. CONFIG.PCW_MIO_11_SLEW {slow} \
  283. CONFIG.PCW_MIO_12_DIRECTION {inout} \
  284. CONFIG.PCW_MIO_12_IOTYPE {LVCMOS 3.3V} \
  285. CONFIG.PCW_MIO_12_PULLUP {enabled} \
  286. CONFIG.PCW_MIO_12_SLEW {slow} \
  287. CONFIG.PCW_MIO_13_DIRECTION {inout} \
  288. CONFIG.PCW_MIO_13_IOTYPE {LVCMOS 3.3V} \
  289. CONFIG.PCW_MIO_13_PULLUP {enabled} \
  290. CONFIG.PCW_MIO_13_SLEW {slow} \
  291. CONFIG.PCW_MIO_14_DIRECTION {inout} \
  292. CONFIG.PCW_MIO_14_IOTYPE {LVCMOS 3.3V} \
  293. CONFIG.PCW_MIO_14_PULLUP {enabled} \
  294. CONFIG.PCW_MIO_14_SLEW {slow} \
  295. CONFIG.PCW_MIO_15_DIRECTION {inout} \
  296. CONFIG.PCW_MIO_15_IOTYPE {LVCMOS 3.3V} \
  297. CONFIG.PCW_MIO_15_PULLUP {enabled} \
  298. CONFIG.PCW_MIO_15_SLEW {slow} \
  299. CONFIG.PCW_MIO_16_DIRECTION {out} \
  300. CONFIG.PCW_MIO_16_IOTYPE {LVCMOS 1.8V} \
  301. CONFIG.PCW_MIO_16_PULLUP {enabled} \
  302. CONFIG.PCW_MIO_16_SLEW {fast} \
  303. CONFIG.PCW_MIO_17_DIRECTION {out} \
  304. CONFIG.PCW_MIO_17_IOTYPE {LVCMOS 1.8V} \
  305. CONFIG.PCW_MIO_17_PULLUP {enabled} \
  306. CONFIG.PCW_MIO_17_SLEW {fast} \
  307. CONFIG.PCW_MIO_18_DIRECTION {out} \
  308. CONFIG.PCW_MIO_18_IOTYPE {LVCMOS 1.8V} \
  309. CONFIG.PCW_MIO_18_PULLUP {enabled} \
  310. CONFIG.PCW_MIO_18_SLEW {fast} \
  311. CONFIG.PCW_MIO_19_DIRECTION {out} \
  312. CONFIG.PCW_MIO_19_IOTYPE {LVCMOS 1.8V} \
  313. CONFIG.PCW_MIO_19_PULLUP {enabled} \
  314. CONFIG.PCW_MIO_19_SLEW {fast} \
  315. CONFIG.PCW_MIO_1_DIRECTION {inout} \
  316. CONFIG.PCW_MIO_1_IOTYPE {LVCMOS 3.3V} \
  317. CONFIG.PCW_MIO_1_PULLUP {enabled} \
  318. CONFIG.PCW_MIO_1_SLEW {slow} \
  319. CONFIG.PCW_MIO_20_DIRECTION {out} \
  320. CONFIG.PCW_MIO_20_IOTYPE {LVCMOS 1.8V} \
  321. CONFIG.PCW_MIO_20_PULLUP {enabled} \
  322. CONFIG.PCW_MIO_20_SLEW {fast} \
  323. CONFIG.PCW_MIO_21_DIRECTION {out} \
  324. CONFIG.PCW_MIO_21_IOTYPE {LVCMOS 1.8V} \
  325. CONFIG.PCW_MIO_21_PULLUP {enabled} \
  326. CONFIG.PCW_MIO_21_SLEW {fast} \
  327. CONFIG.PCW_MIO_22_DIRECTION {in} \
  328. CONFIG.PCW_MIO_22_IOTYPE {LVCMOS 1.8V} \
  329. CONFIG.PCW_MIO_22_PULLUP {enabled} \
  330. CONFIG.PCW_MIO_22_SLEW {fast} \
  331. CONFIG.PCW_MIO_23_DIRECTION {in} \
  332. CONFIG.PCW_MIO_23_IOTYPE {LVCMOS 1.8V} \
  333. CONFIG.PCW_MIO_23_PULLUP {enabled} \
  334. CONFIG.PCW_MIO_23_SLEW {fast} \
  335. CONFIG.PCW_MIO_24_DIRECTION {in} \
  336. CONFIG.PCW_MIO_24_IOTYPE {LVCMOS 1.8V} \
  337. CONFIG.PCW_MIO_24_PULLUP {enabled} \
  338. CONFIG.PCW_MIO_24_SLEW {fast} \
  339. CONFIG.PCW_MIO_25_DIRECTION {in} \
  340. CONFIG.PCW_MIO_25_IOTYPE {LVCMOS 1.8V} \
  341. CONFIG.PCW_MIO_25_PULLUP {enabled} \
  342. CONFIG.PCW_MIO_25_SLEW {fast} \
  343. CONFIG.PCW_MIO_26_DIRECTION {in} \
  344. CONFIG.PCW_MIO_26_IOTYPE {LVCMOS 1.8V} \
  345. CONFIG.PCW_MIO_26_PULLUP {enabled} \
  346. CONFIG.PCW_MIO_26_SLEW {fast} \
  347. CONFIG.PCW_MIO_27_DIRECTION {in} \
  348. CONFIG.PCW_MIO_27_IOTYPE {LVCMOS 1.8V} \
  349. CONFIG.PCW_MIO_27_PULLUP {enabled} \
  350. CONFIG.PCW_MIO_27_SLEW {fast} \
  351. CONFIG.PCW_MIO_28_DIRECTION {inout} \
  352. CONFIG.PCW_MIO_28_IOTYPE {LVCMOS 1.8V} \
  353. CONFIG.PCW_MIO_28_PULLUP {enabled} \
  354. CONFIG.PCW_MIO_28_SLEW {slow} \
  355. CONFIG.PCW_MIO_29_DIRECTION {inout} \
  356. CONFIG.PCW_MIO_29_IOTYPE {LVCMOS 1.8V} \
  357. CONFIG.PCW_MIO_29_PULLUP {enabled} \
  358. CONFIG.PCW_MIO_29_SLEW {slow} \
  359. CONFIG.PCW_MIO_2_DIRECTION {inout} \
  360. CONFIG.PCW_MIO_2_IOTYPE {LVCMOS 3.3V} \
  361. CONFIG.PCW_MIO_2_PULLUP {disabled} \
  362. CONFIG.PCW_MIO_2_SLEW {slow} \
  363. CONFIG.PCW_MIO_30_DIRECTION {inout} \
  364. CONFIG.PCW_MIO_30_IOTYPE {LVCMOS 1.8V} \
  365. CONFIG.PCW_MIO_30_PULLUP {enabled} \
  366. CONFIG.PCW_MIO_30_SLEW {slow} \
  367. CONFIG.PCW_MIO_31_DIRECTION {inout} \
  368. CONFIG.PCW_MIO_31_IOTYPE {LVCMOS 1.8V} \
  369. CONFIG.PCW_MIO_31_PULLUP {enabled} \
  370. CONFIG.PCW_MIO_31_SLEW {slow} \
  371. CONFIG.PCW_MIO_32_DIRECTION {inout} \
  372. CONFIG.PCW_MIO_32_IOTYPE {LVCMOS 1.8V} \
  373. CONFIG.PCW_MIO_32_PULLUP {enabled} \
  374. CONFIG.PCW_MIO_32_SLEW {slow} \
  375. CONFIG.PCW_MIO_33_DIRECTION {inout} \
  376. CONFIG.PCW_MIO_33_IOTYPE {LVCMOS 1.8V} \
  377. CONFIG.PCW_MIO_33_PULLUP {enabled} \
  378. CONFIG.PCW_MIO_33_SLEW {slow} \
  379. CONFIG.PCW_MIO_34_DIRECTION {inout} \
  380. CONFIG.PCW_MIO_34_IOTYPE {LVCMOS 1.8V} \
  381. CONFIG.PCW_MIO_34_PULLUP {enabled} \
  382. CONFIG.PCW_MIO_34_SLEW {slow} \
  383. CONFIG.PCW_MIO_35_DIRECTION {inout} \
  384. CONFIG.PCW_MIO_35_IOTYPE {LVCMOS 1.8V} \
  385. CONFIG.PCW_MIO_35_PULLUP {enabled} \
  386. CONFIG.PCW_MIO_35_SLEW {slow} \
  387. CONFIG.PCW_MIO_36_DIRECTION {inout} \
  388. CONFIG.PCW_MIO_36_IOTYPE {LVCMOS 1.8V} \
  389. CONFIG.PCW_MIO_36_PULLUP {enabled} \
  390. CONFIG.PCW_MIO_36_SLEW {slow} \
  391. CONFIG.PCW_MIO_37_DIRECTION {inout} \
  392. CONFIG.PCW_MIO_37_IOTYPE {LVCMOS 1.8V} \
  393. CONFIG.PCW_MIO_37_PULLUP {enabled} \
  394. CONFIG.PCW_MIO_37_SLEW {slow} \
  395. CONFIG.PCW_MIO_38_DIRECTION {inout} \
  396. CONFIG.PCW_MIO_38_IOTYPE {LVCMOS 1.8V} \
  397. CONFIG.PCW_MIO_38_PULLUP {enabled} \
  398. CONFIG.PCW_MIO_38_SLEW {slow} \
  399. CONFIG.PCW_MIO_39_DIRECTION {inout} \
  400. CONFIG.PCW_MIO_39_IOTYPE {LVCMOS 1.8V} \
  401. CONFIG.PCW_MIO_39_PULLUP {enabled} \
  402. CONFIG.PCW_MIO_39_SLEW {slow} \
  403. CONFIG.PCW_MIO_3_DIRECTION {inout} \
  404. CONFIG.PCW_MIO_3_IOTYPE {LVCMOS 3.3V} \
  405. CONFIG.PCW_MIO_3_PULLUP {disabled} \
  406. CONFIG.PCW_MIO_3_SLEW {slow} \
  407. CONFIG.PCW_MIO_40_DIRECTION {inout} \
  408. CONFIG.PCW_MIO_40_IOTYPE {LVCMOS 1.8V} \
  409. CONFIG.PCW_MIO_40_PULLUP {enabled} \
  410. CONFIG.PCW_MIO_40_SLEW {slow} \
  411. CONFIG.PCW_MIO_41_DIRECTION {inout} \
  412. CONFIG.PCW_MIO_41_IOTYPE {LVCMOS 1.8V} \
  413. CONFIG.PCW_MIO_41_PULLUP {enabled} \
  414. CONFIG.PCW_MIO_41_SLEW {slow} \
  415. CONFIG.PCW_MIO_42_DIRECTION {inout} \
  416. CONFIG.PCW_MIO_42_IOTYPE {LVCMOS 1.8V} \
  417. CONFIG.PCW_MIO_42_PULLUP {enabled} \
  418. CONFIG.PCW_MIO_42_SLEW {slow} \
  419. CONFIG.PCW_MIO_43_DIRECTION {inout} \
  420. CONFIG.PCW_MIO_43_IOTYPE {LVCMOS 1.8V} \
  421. CONFIG.PCW_MIO_43_PULLUP {enabled} \
  422. CONFIG.PCW_MIO_43_SLEW {slow} \
  423. CONFIG.PCW_MIO_44_DIRECTION {inout} \
  424. CONFIG.PCW_MIO_44_IOTYPE {LVCMOS 1.8V} \
  425. CONFIG.PCW_MIO_44_PULLUP {enabled} \
  426. CONFIG.PCW_MIO_44_SLEW {slow} \
  427. CONFIG.PCW_MIO_45_DIRECTION {inout} \
  428. CONFIG.PCW_MIO_45_IOTYPE {LVCMOS 1.8V} \
  429. CONFIG.PCW_MIO_45_PULLUP {enabled} \
  430. CONFIG.PCW_MIO_45_SLEW {slow} \
  431. CONFIG.PCW_MIO_46_DIRECTION {inout} \
  432. CONFIG.PCW_MIO_46_IOTYPE {LVCMOS 1.8V} \
  433. CONFIG.PCW_MIO_46_PULLUP {enabled} \
  434. CONFIG.PCW_MIO_46_SLEW {slow} \
  435. CONFIG.PCW_MIO_47_DIRECTION {in} \
  436. CONFIG.PCW_MIO_47_IOTYPE {LVCMOS 1.8V} \
  437. CONFIG.PCW_MIO_47_PULLUP {enabled} \
  438. CONFIG.PCW_MIO_47_SLEW {slow} \
  439. CONFIG.PCW_MIO_48_DIRECTION {out} \
  440. CONFIG.PCW_MIO_48_IOTYPE {LVCMOS 1.8V} \
  441. CONFIG.PCW_MIO_48_PULLUP {enabled} \
  442. CONFIG.PCW_MIO_48_SLEW {slow} \
  443. CONFIG.PCW_MIO_49_DIRECTION {in} \
  444. CONFIG.PCW_MIO_49_IOTYPE {LVCMOS 1.8V} \
  445. CONFIG.PCW_MIO_49_PULLUP {enabled} \
  446. CONFIG.PCW_MIO_49_SLEW {slow} \
  447. CONFIG.PCW_MIO_4_DIRECTION {inout} \
  448. CONFIG.PCW_MIO_4_IOTYPE {LVCMOS 3.3V} \
  449. CONFIG.PCW_MIO_4_PULLUP {disabled} \
  450. CONFIG.PCW_MIO_4_SLEW {slow} \
  451. CONFIG.PCW_MIO_50_DIRECTION {inout} \
  452. CONFIG.PCW_MIO_50_IOTYPE {LVCMOS 1.8V} \
  453. CONFIG.PCW_MIO_50_PULLUP {enabled} \
  454. CONFIG.PCW_MIO_50_SLEW {slow} \
  455. CONFIG.PCW_MIO_51_DIRECTION {inout} \
  456. CONFIG.PCW_MIO_51_IOTYPE {LVCMOS 1.8V} \
  457. CONFIG.PCW_MIO_51_PULLUP {enabled} \
  458. CONFIG.PCW_MIO_51_SLEW {slow} \
  459. CONFIG.PCW_MIO_52_DIRECTION {out} \
  460. CONFIG.PCW_MIO_52_IOTYPE {LVCMOS 1.8V} \
  461. CONFIG.PCW_MIO_52_PULLUP {enabled} \
  462. CONFIG.PCW_MIO_52_SLEW {slow} \
  463. CONFIG.PCW_MIO_53_DIRECTION {inout} \
  464. CONFIG.PCW_MIO_53_IOTYPE {LVCMOS 1.8V} \
  465. CONFIG.PCW_MIO_53_PULLUP {enabled} \
  466. CONFIG.PCW_MIO_53_SLEW {slow} \
  467. CONFIG.PCW_MIO_5_DIRECTION {inout} \
  468. CONFIG.PCW_MIO_5_IOTYPE {LVCMOS 3.3V} \
  469. CONFIG.PCW_MIO_5_PULLUP {disabled} \
  470. CONFIG.PCW_MIO_5_SLEW {slow} \
  471. CONFIG.PCW_MIO_6_DIRECTION {inout} \
  472. CONFIG.PCW_MIO_6_IOTYPE {LVCMOS 3.3V} \
  473. CONFIG.PCW_MIO_6_PULLUP {disabled} \
  474. CONFIG.PCW_MIO_6_SLEW {slow} \
  475. CONFIG.PCW_MIO_7_DIRECTION {out} \
  476. CONFIG.PCW_MIO_7_IOTYPE {LVCMOS 3.3V} \
  477. CONFIG.PCW_MIO_7_PULLUP {disabled} \
  478. CONFIG.PCW_MIO_7_SLEW {slow} \
  479. CONFIG.PCW_MIO_8_DIRECTION {out} \
  480. CONFIG.PCW_MIO_8_IOTYPE {LVCMOS 3.3V} \
  481. CONFIG.PCW_MIO_8_PULLUP {disabled} \
  482. CONFIG.PCW_MIO_8_SLEW {slow} \
  483. CONFIG.PCW_MIO_9_DIRECTION {inout} \
  484. CONFIG.PCW_MIO_9_IOTYPE {LVCMOS 3.3V} \
  485. CONFIG.PCW_MIO_9_PULLUP {enabled} \
  486. CONFIG.PCW_MIO_9_SLEW {slow} \
  487. CONFIG.PCW_OVERRIDE_BASIC_CLOCK {0} \
  488. CONFIG.PCW_PCAP_PERIPHERAL_CLKSRC {IO PLL} \
  489. CONFIG.PCW_PCAP_PERIPHERAL_DIVISOR0 {5} \
  490. CONFIG.PCW_PCAP_PERIPHERAL_FREQMHZ {200} \
  491. CONFIG.PCW_PJTAG_PERIPHERAL_ENABLE {0} \
  492. CONFIG.PCW_PLL_BYPASSMODE_ENABLE {0} \
  493. CONFIG.PCW_PRESET_BANK0_VOLTAGE {LVCMOS 3.3V} \
  494. CONFIG.PCW_PRESET_BANK1_VOLTAGE {LVCMOS 1.8V} \
  495. CONFIG.PCW_QSPI_GRP_FBCLK_ENABLE {0} \
  496. CONFIG.PCW_QSPI_GRP_FBCLK_IO {<Select>} \
  497. CONFIG.PCW_QSPI_GRP_IO1_ENABLE {0} \
  498. CONFIG.PCW_QSPI_GRP_SINGLE_SS_ENABLE {0} \
  499. CONFIG.PCW_QSPI_GRP_SINGLE_SS_IO {<Select>} \
  500. CONFIG.PCW_QSPI_GRP_SS1_ENABLE {0} \
  501. CONFIG.PCW_QSPI_INTERNAL_HIGHADDRESS {0xFCFFFFFF} \
  502. CONFIG.PCW_QSPI_PERIPHERAL_CLKSRC {IO PLL} \
  503. CONFIG.PCW_QSPI_PERIPHERAL_DIVISOR0 {1} \
  504. CONFIG.PCW_QSPI_PERIPHERAL_ENABLE {0} \
  505. CONFIG.PCW_QSPI_PERIPHERAL_FREQMHZ {200} \
  506. CONFIG.PCW_QSPI_QSPI_IO {<Select>} \
  507. CONFIG.PCW_SD0_GRP_CD_ENABLE {1} \
  508. CONFIG.PCW_SD0_GRP_CD_IO {MIO 47} \
  509. CONFIG.PCW_SD0_GRP_POW_ENABLE {0} \
  510. CONFIG.PCW_SD0_GRP_WP_ENABLE {0} \
  511. CONFIG.PCW_SD0_PERIPHERAL_ENABLE {1} \
  512. CONFIG.PCW_SD0_SD0_IO {MIO 40 .. 45} \
  513. CONFIG.PCW_SDIO_PERIPHERAL_CLKSRC {IO PLL} \
  514. CONFIG.PCW_SDIO_PERIPHERAL_DIVISOR0 {10} \
  515. CONFIG.PCW_SDIO_PERIPHERAL_FREQMHZ {100} \
  516. CONFIG.PCW_SMC_PERIPHERAL_CLKSRC {IO PLL} \
  517. CONFIG.PCW_SMC_PERIPHERAL_DIVISOR0 {1} \
  518. CONFIG.PCW_SMC_PERIPHERAL_FREQMHZ {100} \
  519. CONFIG.PCW_TPIU_PERIPHERAL_CLKSRC {External} \
  520. CONFIG.PCW_TPIU_PERIPHERAL_DIVISOR0 {1} \
  521. CONFIG.PCW_TPIU_PERIPHERAL_FREQMHZ {200} \
  522. CONFIG.PCW_UART1_BAUD_RATE {115200} \
  523. CONFIG.PCW_UART1_GRP_FULL_ENABLE {0} \
  524. CONFIG.PCW_UART1_PERIPHERAL_ENABLE {1} \
  525. CONFIG.PCW_UART1_UART1_IO {MIO 48 .. 49} \
  526. CONFIG.PCW_UART_PERIPHERAL_CLKSRC {IO PLL} \
  527. CONFIG.PCW_UART_PERIPHERAL_DIVISOR0 {10} \
  528. CONFIG.PCW_UART_PERIPHERAL_FREQMHZ {100} \
  529. CONFIG.PCW_UIPARAM_DDR_ADV_ENABLE {0} \
  530. CONFIG.PCW_UIPARAM_DDR_AL {0} \
  531. CONFIG.PCW_UIPARAM_DDR_BANK_ADDR_COUNT {3} \
  532. CONFIG.PCW_UIPARAM_DDR_BL {8} \
  533. CONFIG.PCW_UIPARAM_DDR_BOARD_DELAY0 {0.221} \
  534. CONFIG.PCW_UIPARAM_DDR_BOARD_DELAY1 {0.222} \
  535. CONFIG.PCW_UIPARAM_DDR_BOARD_DELAY2 {0.217} \
  536. CONFIG.PCW_UIPARAM_DDR_BOARD_DELAY3 {0.244} \
  537. CONFIG.PCW_UIPARAM_DDR_BUS_WIDTH {32 Bit} \
  538. CONFIG.PCW_UIPARAM_DDR_CL {7} \
  539. CONFIG.PCW_UIPARAM_DDR_CLOCK_0_LENGTH_MM {18.8} \
  540. CONFIG.PCW_UIPARAM_DDR_CLOCK_0_PACKAGE_LENGTH {80.4535} \
  541. CONFIG.PCW_UIPARAM_DDR_CLOCK_0_PROPOGATION_DELAY {160} \
  542. CONFIG.PCW_UIPARAM_DDR_CLOCK_1_LENGTH_MM {18.8} \
  543. CONFIG.PCW_UIPARAM_DDR_CLOCK_1_PACKAGE_LENGTH {80.4535} \
  544. CONFIG.PCW_UIPARAM_DDR_CLOCK_1_PROPOGATION_DELAY {160} \
  545. CONFIG.PCW_UIPARAM_DDR_CLOCK_2_LENGTH_MM {18.8} \
  546. CONFIG.PCW_UIPARAM_DDR_CLOCK_2_PACKAGE_LENGTH {80.4535} \
  547. CONFIG.PCW_UIPARAM_DDR_CLOCK_2_PROPOGATION_DELAY {160} \
  548. CONFIG.PCW_UIPARAM_DDR_CLOCK_3_LENGTH_MM {18.8} \
  549. CONFIG.PCW_UIPARAM_DDR_CLOCK_3_PACKAGE_LENGTH {80.4535} \
  550. CONFIG.PCW_UIPARAM_DDR_CLOCK_3_PROPOGATION_DELAY {160} \
  551. CONFIG.PCW_UIPARAM_DDR_CLOCK_STOP_EN {0} \
  552. CONFIG.PCW_UIPARAM_DDR_COL_ADDR_COUNT {10} \
  553. CONFIG.PCW_UIPARAM_DDR_CWL {6} \
  554. CONFIG.PCW_UIPARAM_DDR_DEVICE_CAPACITY {4096 MBits} \
  555. CONFIG.PCW_UIPARAM_DDR_DQS_0_LENGTH_MM {22.8} \
  556. CONFIG.PCW_UIPARAM_DDR_DQS_0_PACKAGE_LENGTH {105.056} \
  557. CONFIG.PCW_UIPARAM_DDR_DQS_0_PROPOGATION_DELAY {160} \
  558. CONFIG.PCW_UIPARAM_DDR_DQS_1_LENGTH_MM {27.9} \
  559. CONFIG.PCW_UIPARAM_DDR_DQS_1_PACKAGE_LENGTH {66.904} \
  560. CONFIG.PCW_UIPARAM_DDR_DQS_1_PROPOGATION_DELAY {160} \
  561. CONFIG.PCW_UIPARAM_DDR_DQS_2_LENGTH_MM {22.9} \
  562. CONFIG.PCW_UIPARAM_DDR_DQS_2_PACKAGE_LENGTH {89.1715} \
  563. CONFIG.PCW_UIPARAM_DDR_DQS_2_PROPOGATION_DELAY {160} \
  564. CONFIG.PCW_UIPARAM_DDR_DQS_3_LENGTH_MM {29.4} \
  565. CONFIG.PCW_UIPARAM_DDR_DQS_3_PACKAGE_LENGTH {113.63} \
  566. CONFIG.PCW_UIPARAM_DDR_DQS_3_PROPOGATION_DELAY {160} \
  567. CONFIG.PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_0 {-0.050} \
  568. CONFIG.PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_1 {-0.044} \
  569. CONFIG.PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_2 {-0.035} \
  570. CONFIG.PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_3 {-0.100} \
  571. CONFIG.PCW_UIPARAM_DDR_DQ_0_LENGTH_MM {22.8} \
  572. CONFIG.PCW_UIPARAM_DDR_DQ_0_PACKAGE_LENGTH {98.503} \
  573. CONFIG.PCW_UIPARAM_DDR_DQ_0_PROPOGATION_DELAY {160} \
  574. CONFIG.PCW_UIPARAM_DDR_DQ_1_LENGTH_MM {27.9} \
  575. CONFIG.PCW_UIPARAM_DDR_DQ_1_PACKAGE_LENGTH {68.5855} \
  576. CONFIG.PCW_UIPARAM_DDR_DQ_1_PROPOGATION_DELAY {160} \
  577. CONFIG.PCW_UIPARAM_DDR_DQ_2_LENGTH_MM {22.9} \
  578. CONFIG.PCW_UIPARAM_DDR_DQ_2_PACKAGE_LENGTH {90.295} \
  579. CONFIG.PCW_UIPARAM_DDR_DQ_2_PROPOGATION_DELAY {160} \
  580. CONFIG.PCW_UIPARAM_DDR_DQ_3_LENGTH_MM {29.4} \
  581. CONFIG.PCW_UIPARAM_DDR_DQ_3_PACKAGE_LENGTH {103.977} \
  582. CONFIG.PCW_UIPARAM_DDR_DQ_3_PROPOGATION_DELAY {160} \
  583. CONFIG.PCW_UIPARAM_DDR_DRAM_WIDTH {16 Bits} \
  584. CONFIG.PCW_UIPARAM_DDR_ECC {Disabled} \
  585. CONFIG.PCW_UIPARAM_DDR_ENABLE {1} \
  586. CONFIG.PCW_UIPARAM_DDR_FREQ_MHZ {533.333333} \
  587. CONFIG.PCW_UIPARAM_DDR_HIGH_TEMP {Normal (0-85)} \
  588. CONFIG.PCW_UIPARAM_DDR_MEMORY_TYPE {DDR 3 (Low Voltage)} \
  589. CONFIG.PCW_UIPARAM_DDR_PARTNO {MT41K256M16 RE-125} \
  590. CONFIG.PCW_UIPARAM_DDR_ROW_ADDR_COUNT {15} \
  591. CONFIG.PCW_UIPARAM_DDR_SPEED_BIN {DDR3_1066F} \
  592. CONFIG.PCW_UIPARAM_DDR_TRAIN_DATA_EYE {1} \
  593. CONFIG.PCW_UIPARAM_DDR_TRAIN_READ_GATE {1} \
  594. CONFIG.PCW_UIPARAM_DDR_TRAIN_WRITE_LEVEL {1} \
  595. CONFIG.PCW_UIPARAM_DDR_T_FAW {40.0} \
  596. CONFIG.PCW_UIPARAM_DDR_T_RAS_MIN {35.0} \
  597. CONFIG.PCW_UIPARAM_DDR_T_RC {48.75} \
  598. CONFIG.PCW_UIPARAM_DDR_T_RCD {7} \
  599. CONFIG.PCW_UIPARAM_DDR_T_RP {7} \
  600. CONFIG.PCW_UIPARAM_DDR_USE_INTERNAL_VREF {1} \
  601. CONFIG.PCW_USB0_PERIPHERAL_ENABLE {0} \
  602. CONFIG.PCW_USB0_PERIPHERAL_FREQMHZ {60} \
  603. CONFIG.PCW_USB0_RESET_ENABLE {0} \
  604. CONFIG.PCW_USB0_RESET_IO {<Select>} \
  605. CONFIG.PCW_USB0_USB0_IO {<Select>} \
  606. CONFIG.PCW_USB_RESET_ENABLE {0} \
  607. CONFIG.PCW_USB_RESET_POLARITY {Active Low} \
  608. CONFIG.PCW_USB_RESET_SELECT {<Select>} \
  609. CONFIG.PCW_USE_AXI_NONSECURE {0} \
  610. CONFIG.PCW_USE_CROSS_TRIGGER {0} \
  611. CONFIG.PCW_USE_DEFAULT_ACP_USER_VAL {1} \
  612. CONFIG.PCW_USE_FABRIC_INTERRUPT {1} \
  613. CONFIG.PCW_USE_HIGH_OCM {1} \
  614. CONFIG.PCW_USE_M_AXI_GP0 {1} \
  615. CONFIG.PCW_USE_S_AXI_ACP {1} \
  616.  ] $processing_system7_0
  617.  
  618.   # Create instance: xlconcat_0, and set properties
  619.   set xlconcat_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:xlconcat:2.1 xlconcat_0 ]
  620.  
  621.   # Create interface connections
  622.   connect_bd_intf_net -intf_net S00_AXI_1 [get_bd_intf_pins axi_interconnect_1/S00_AXI] [get_bd_intf_pins processing_system7_0/M_AXI_GP0]
  623.   connect_bd_intf_net -intf_net S00_AXI_2 [get_bd_intf_pins axi_dma_0/M_AXI_S2MM] [get_bd_intf_pins axi_interconnect_0/S00_AXI]
  624.   connect_bd_intf_net -intf_net axi_dma_1_M_AXIS_MM2S [get_bd_intf_pins axi_dma_1/M_AXIS_MM2S] [get_bd_intf_pins fifo_generator_0/S_AXIS]
  625.   connect_bd_intf_net -intf_net axi_dma_1_M_AXI_MM2S [get_bd_intf_pins axi_dma_1/M_AXI_MM2S] [get_bd_intf_pins axi_interconnect_0/S01_AXI]
  626.   connect_bd_intf_net -intf_net axi_interconnect_0_M00_AXI [get_bd_intf_pins axi_interconnect_0/M00_AXI] [get_bd_intf_pins processing_system7_0/S_AXI_ACP]
  627.   connect_bd_intf_net -intf_net axi_interconnect_1_M00_AXI [get_bd_intf_pins axi_dma_0/S_AXI_LITE] [get_bd_intf_pins axi_interconnect_1/M00_AXI]
  628.   connect_bd_intf_net -intf_net axi_interconnect_1_M01_AXI [get_bd_intf_pins axi_dma_1/S_AXI_LITE] [get_bd_intf_pins axi_interconnect_1/M01_AXI]
  629.   connect_bd_intf_net -intf_net fifo_generator_0_M_AXIS [get_bd_intf_pins axi_dma_0/S_AXIS_S2MM] [get_bd_intf_pins fifo_generator_0/M_AXIS]
  630.   connect_bd_intf_net -intf_net processing_system7_0_DDR [get_bd_intf_ports DDR] [get_bd_intf_pins processing_system7_0/DDR]
  631.   connect_bd_intf_net -intf_net processing_system7_0_FIXED_IO [get_bd_intf_ports FIXED_IO] [get_bd_intf_pins processing_system7_0/FIXED_IO]
  632.  
  633.   # Create port connections
  634.   connect_bd_net -net ARESETN_1 [get_bd_pins axi_interconnect_1/ARESETN] [get_bd_pins proc_sys_reset_1/interconnect_aresetn]
  635.   connect_bd_net -net M01_ARESETN_1 [get_bd_pins axi_dma_0/axi_resetn] [get_bd_pins axi_dma_1/axi_resetn] [get_bd_pins axi_interconnect_1/M00_ARESETN] [get_bd_pins axi_interconnect_1/M01_ARESETN] [get_bd_pins axi_interconnect_1/S00_ARESETN] [get_bd_pins proc_sys_reset_1/peripheral_aresetn]
  636.   connect_bd_net -net Net [get_bd_pins axi_dma_0/m_axi_s2mm_aclk] [get_bd_pins axi_dma_1/m_axi_mm2s_aclk] [get_bd_pins axi_interconnect_0/ACLK] [get_bd_pins axi_interconnect_0/M00_ACLK] [get_bd_pins axi_interconnect_0/S00_ACLK] [get_bd_pins axi_interconnect_0/S01_ACLK] [get_bd_pins fifo_generator_0/s_aclk] [get_bd_pins proc_sys_reset_0/slowest_sync_clk] [get_bd_pins processing_system7_0/FCLK_CLK1] [get_bd_pins processing_system7_0/S_AXI_ACP_ACLK]
  637.   connect_bd_net -net axi_dma_0_s2mm_introut [get_bd_pins axi_dma_0/s2mm_introut] [get_bd_pins xlconcat_0/In0]
  638.   connect_bd_net -net axi_dma_1_mm2s_introut [get_bd_pins axi_dma_1/mm2s_introut] [get_bd_pins xlconcat_0/In1]
  639.   connect_bd_net -net proc_sys_reset_0_interconnect_aresetn [get_bd_pins axi_interconnect_0/ARESETN] [get_bd_pins proc_sys_reset_0/interconnect_aresetn]
  640.   connect_bd_net -net proc_sys_reset_0_peripheral_aresetn [get_bd_pins axi_interconnect_0/M00_ARESETN] [get_bd_pins axi_interconnect_0/S00_ARESETN] [get_bd_pins axi_interconnect_0/S01_ARESETN] [get_bd_pins fifo_generator_0/s_aresetn] [get_bd_pins proc_sys_reset_0/peripheral_aresetn]
  641.   connect_bd_net -net processing_system7_0_FCLK_CLK0 [get_bd_pins axi_dma_0/s_axi_lite_aclk] [get_bd_pins axi_dma_1/s_axi_lite_aclk] [get_bd_pins axi_interconnect_1/ACLK] [get_bd_pins axi_interconnect_1/M00_ACLK] [get_bd_pins axi_interconnect_1/M01_ACLK] [get_bd_pins axi_interconnect_1/S00_ACLK] [get_bd_pins proc_sys_reset_1/slowest_sync_clk] [get_bd_pins processing_system7_0/FCLK_CLK0] [get_bd_pins processing_system7_0/M_AXI_GP0_ACLK]
  642.   connect_bd_net -net processing_system7_0_FCLK_RESET0_N [get_bd_pins proc_sys_reset_1/ext_reset_in] [get_bd_pins processing_system7_0/FCLK_RESET0_N]
  643.   connect_bd_net -net processing_system7_0_FCLK_RESET1_N [get_bd_pins proc_sys_reset_0/ext_reset_in] [get_bd_pins processing_system7_0/FCLK_RESET1_N]
  644.   connect_bd_net -net xlconcat_0_dout [get_bd_pins processing_system7_0/IRQ_F2P] [get_bd_pins xlconcat_0/dout]
  645.  
  646.   # Create address segments
  647.   create_bd_addr_seg -range 0x20000000 -offset 0x0 [get_bd_addr_spaces axi_dma_0/Data_S2MM] [get_bd_addr_segs processing_system7_0/S_AXI_ACP/ACP_DDR_LOWOCM] SEG_processing_system7_0_ACP_DDR_LOWOCM
  648.   create_bd_addr_seg -range 0x40000000 -offset 0x40000000 [get_bd_addr_spaces axi_dma_0/Data_S2MM] [get_bd_addr_segs processing_system7_0/S_AXI_ACP/ACP_M_AXI_GP0] SEG_processing_system7_0_ACP_M_AXI_GP0
  649.   create_bd_addr_seg -range 0x20000000 -offset 0x0 [get_bd_addr_spaces axi_dma_1/Data_MM2S] [get_bd_addr_segs processing_system7_0/S_AXI_ACP/ACP_DDR_LOWOCM] SEG_processing_system7_0_ACP_DDR_LOWOCM
  650.   create_bd_addr_seg -range 0x40000000 -offset 0x40000000 [get_bd_addr_spaces axi_dma_1/Data_MM2S] [get_bd_addr_segs processing_system7_0/S_AXI_ACP/ACP_M_AXI_GP0] SEG_processing_system7_0_ACP_M_AXI_GP0
  651.   create_bd_addr_seg -range 0x1000 -offset 0x40400000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs axi_dma_0/S_AXI_LITE/Reg] SEG_axi_dma_0_Reg
  652.   create_bd_addr_seg -range 0x1000 -offset 0x40410000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs axi_dma_1/S_AXI_LITE/Reg] SEG_axi_dma_1_Reg
  653.  
  654.   # Perform GUI Layout
  655.   regenerate_bd_layout -layout_string {
  656.    guistr: "# # String gsaved with Nlview 6.5.5  2015-06-26 bk=1.3371 VDI=38 GEI=35 GUI=JA:1.8
  657. #  -string -flagsOSRD
  658. preplace port DDR -pg 1 -y 270 -defaultsOSRD
  659. preplace port FIXED_IO -pg 1 -y 290 -defaultsOSRD
  660. preplace inst axi_dma_0 -pg 1 -lvl 5 -y 350 -defaultsOSRD
  661. preplace inst axi_dma_1 -pg 1 -lvl 3 -y 250 -defaultsOSRD
  662. preplace inst proc_sys_reset_0 -pg 1 -lvl 3 -y 90 -defaultsOSRD
  663. preplace inst xlconcat_0 -pg 1 -lvl 6 -y 390 -defaultsOSRD
  664. preplace inst proc_sys_reset_1 -pg 1 -lvl 1 -y 790 -defaultsOSRD
  665. preplace inst axi_interconnect_0 -pg 1 -lvl 6 -y 180 -defaultsOSRD
  666. preplace inst axi_interconnect_1 -pg 1 -lvl 2 -y 630 -defaultsOSRD
  667. preplace inst fifo_generator_0 -pg 1 -lvl 4 -y 270 -defaultsOSRD
  668. preplace inst processing_system7_0 -pg 1 -lvl 7 -y 330 -defaultsOSRD
  669. preplace netloc processing_system7_0_DDR 1 7 1 NJ
  670. preplace netloc axi_interconnect_1_M01_AXI 1 2 1 530
  671. preplace netloc axi_dma_1_M_AXI_MM2S 1 3 3 960 110 NJ 110 NJ
  672. preplace netloc axi_dma_1_M_AXIS_MM2S 1 3 1 950
  673. preplace netloc processing_system7_0_FCLK_RESET0_N 1 0 8 -140 450 NJ 450 NJ 450 NJ 450 NJ 450 NJ 450 NJ 450 2390
  674. preplace netloc ARESETN_1 1 1 1 230
  675. preplace netloc fifo_generator_0_M_AXIS 1 4 1 1260
  676. preplace netloc processing_system7_0_FCLK_RESET1_N 1 2 6 580 340 NJ 20 NJ 20 NJ 20 NJ 20 2420
  677. preplace netloc proc_sys_reset_0_interconnect_aresetn 1 3 3 950 120 NJ 120 NJ
  678. preplace netloc axi_dma_0_s2mm_introut 1 5 1 1630
  679. preplace netloc xlconcat_0_dout 1 6 1 1990
  680. preplace netloc S00_AXI_1 1 1 7 230 330 NJ 330 NJ 10 NJ 10 NJ 10 NJ 10 2410
  681. preplace netloc processing_system7_0_FIXED_IO 1 7 1 NJ
  682. preplace netloc S00_AXI_2 1 5 1 1650
  683. preplace netloc axi_interconnect_0_M00_AXI 1 6 1 1990
  684. preplace netloc proc_sys_reset_0_peripheral_aresetn 1 3 3 970 190 NJ 190 1670
  685. preplace netloc Net 1 2 6 570 350 980 350 1270 240 1680 30 2000 200 2400
  686. preplace netloc processing_system7_0_FCLK_CLK0 1 0 8 -130 690 210 370 540 370 NJ 370 1280 260 NJ 330 1980 220 2390
  687. preplace netloc axi_interconnect_1_M00_AXI 1 2 3 550 360 NJ 360 NJ
  688. preplace netloc M01_ARESETN_1 1 1 4 220 390 560 390 NJ 390 NJ
  689. preplace netloc axi_dma_1_mm2s_introut 1 3 3 930 340 NJ 250 NJ
  690. levelinfo -pg 1 -160 40 380 750 1120 1460 1830 2200 2440 -top 0 -bot 880
  691. ",
  692. }
  693.  
  694.   # Restore current instance
  695.   current_bd_instance $oldCurInst
  696.  
  697.   save_bd_design
  698. }
  699. # End of create_root_design()
  700.  
  701.  
  702. ##################################################################
  703. # MAIN FLOW
  704. ##################################################################
  705.  
  706. create_root_design ""
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement