Advertisement
bartekltg

tablica vs vector

Mar 20th, 2013
136
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
C++ 1.21 KB | None | 0 0
  1. // fir.cpp : Defines the entry point for the console application.
  2. //
  3.  
  4. #include "stdafx.h"
  5. #include <vector>
  6. #include<cstdlib>
  7. #include <cstdio>
  8. #include <ctime>
  9.  
  10.  
  11. using namespace std;
  12.  
  13.  
  14.  
  15. int main()
  16. {
  17.     int liczba,b;
  18.     scanf ("%d %d",&liczba,&b);
  19.     clock_t start,end;
  20.  
  21.     int *tablica;
  22.     vector<int> wektor;
  23.  
  24.     tablica = new int[liczba];
  25.  
  26.     wektor.resize(liczba);
  27.  
  28.     for(int i=0;i<liczba;i++)
  29.     {
  30.         tablica[i] = i;
  31.     }
  32.  
  33.     for(int i=0;i<liczba;i++)
  34.     {
  35.         wektor[i] = i;
  36.     }
  37.  
  38.     for (int k=0;k<5;k++)
  39.     {
  40.         start=clock();
  41.  
  42.         for(int i=0;i<liczba;i++)
  43.         {
  44.             tablica[i]++;
  45.             for (int t=0;t<b;t++)
  46.                 tablica[i] = 7*tablica[i]+ 11*tablica[i]*tablica[i]/2546+9;
  47.         }
  48.         end=clock();
  49.         int aku=0;
  50.         for(int i=0;i<liczba;i++)
  51.         {
  52.             aku+=tablica[i];
  53.         }
  54.         printf("A %f %d \n", ((float)(end-start))/CLOCKS_PER_SEC,aku);
  55.     }
  56.    
  57.     for (int k=0;k<5;k++)
  58.     {
  59.         start=clock();
  60.  
  61.         for(int i=0;i<liczba;i++)
  62.         {
  63.             wektor[i]++;
  64.             for (int t=0;t<b;t++)
  65.                 wektor[i] = 7*wektor[i]+ 11*wektor[i]*wektor[i]/2546+9;
  66.         }
  67.         end=clock();
  68.         int aku=0;
  69.         for(int i=0;i<liczba;i++)
  70.         {
  71.             aku+=wektor[i];
  72.         }
  73.         printf("B %f %d \n", ((float)(end-start))/CLOCKS_PER_SEC,aku);
  74.     }
  75.  
  76.     return 0;
  77. }
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement