Advertisement
Guest User

redge

a guest
Dec 21st, 2018
76
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. module redge(
  2.     input wire clk,
  3.     input wire reset,
  4.     input wire data_in,
  5.     output wire data_out
  6. );
  7.  
  8. reg prvi_reg, prvi_next;
  9. reg drugi_reg, drugi_next;
  10.  
  11. always @(posedge clk, posedge reset) begin
  12.     if(reset == 1) begin
  13.         prvi_reg <= 0;
  14.         drugi_reg <= 0;
  15.     end else begin
  16.         prvi_reg <= prvi_next;
  17.         drugi_reg <= drugi_next;
  18.     end
  19. end
  20.  
  21. always @(*) begin
  22.     prvi_next = data_in;
  23.     drugi_next = prvi_reg;
  24. end
  25.  
  26. assign data_out = !prvi_reg && drugi_reg;
  27. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement