Guest User

split.patch

a guest
Dec 20th, 2019
275
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
Diff 17.68 KB | None | 0 0
  1. diff --git a/.SRCINFO b/.SRCINFO
  2. index cd872aa..14d003a 100644
  3. --- a/.SRCINFO
  4. +++ b/.SRCINFO
  5. @@ -1,11 +1,28 @@
  6.  pkgbase = quartus-free
  7.     pkgdesc = Quartus Prime Lite Edition design software for Altera FPGA's
  8.     pkgver = 19.1.0.670
  9. -   pkgrel = 1
  10. +   pkgrel = 2
  11.     url = http://fpgasoftware.intel.com/?edition=lite
  12.     arch = x86_64
  13.     license = custom
  14.     makedepends = patchelf
  15. +   options = !strip
  16. +   options = !debug
  17. +   source = http://download.altera.com/akdlm/software/acdsinst/19.1std/670/ib_tar/Quartus-lite-19.1.0.670-linux.tar
  18. +   source = quartus.sh
  19. +   source = quartus.desktop
  20. +   source = modelsim-ase.sh
  21. +   source = modelsim-ase.desktop
  22. +   source = 51-usbblaster.rules
  23. +   sha256sums = 3546e90f6496b17c3c3e3e8582a3991940ad73ed112740428864460cfab6e40a
  24. +   sha256sums = 86232c74af23eda8b5ee4cf1599ad33505f5a709850589cee6e3bab85e3f54b0
  25. +   sha256sums = f8ba2a84c6f7551bb4ab62d17f77e2814c70871ddb15f0276f1bf89cc6c674b8
  26. +   sha256sums = 2a6bde11906744b49c34e23db6f28ae2d1bce6580eec4e6cbb6e576de2b60a05
  27. +   sha256sums = a37738de447c50ca7bfe856466bd9567850ccf45ac6c3f0a6e63ef5bb863645f
  28. +   sha256sums = dd9d33fa2698a0ec11ae86f4508f77e2e12bf4a21224f5b16640bc41d6c0999b
  29. +
  30. +pkgname = quartus-free-base
  31. +   pkgdesc = Quartus Prime Lite Edition design software for Altera FPGA's (base)
  32.     depends = lib32-expat
  33.     depends = lib32-fontconfig
  34.     depends = lib32-freetype2
  35. @@ -29,18 +46,64 @@ pkgbase = quartus-free
  36.     depends = lib32-libxtst
  37.     depends = lib32-tkimg
  38.     depends = libpng12
  39. -   options = !strip
  40. -   options = !debug
  41. -   source = http://download.altera.com/akdlm/software/acdsinst/19.1std/670/ib_tar/Quartus-lite-19.1.0.670-linux.tar
  42. -   source = quartus.sh
  43. -   source = quartus.desktop
  44. -   source = modelsim-ase.desktop
  45. -   source = 51-usbblaster.rules
  46. -   sha256sums = 3546e90f6496b17c3c3e3e8582a3991940ad73ed112740428864460cfab6e40a
  47. -   sha256sums = 2a3d61e6f01aa16c9d3ddd40e9741b9fd080496db5437530b4e38faf6771c1ed
  48. -   sha256sums = f8ba2a84c6f7551bb4ab62d17f77e2814c70871ddb15f0276f1bf89cc6c674b8
  49. -   sha256sums = a37738de447c50ca7bfe856466bd9567850ccf45ac6c3f0a6e63ef5bb863645f
  50. -   sha256sums = dd9d33fa2698a0ec11ae86f4508f77e2e12bf4a21224f5b16640bc41d6c0999b
  51. +
  52. +pkgname = quartus-free-ip
  53. +   pkgdesc = IP for Quartus Prime Lite Edition
  54. +   depends = quartus-free-base=19.1.0.670-2
  55. +
  56. +pkgname = quartus-free-modelsim_ase
  57. +   pkgdesc = ModelSim-Intel FPGA Starter Edition
  58. +   depends = quartus-free-base=19.1.0.670-2
  59. +
  60. +pkgname = quartus-free-nios2eds
  61. +   pkgdesc = Nios II Embedded Design Suite
  62. +   depends = quartus-free-base=19.1.0.670-2
  63. +
  64. +pkgname = quartus-free-quartus
  65. +   pkgdesc = Quartus Prime Lite Edition
  66. +   depends = quartus-free-base=19.1.0.670-2
  67. +   depends = quartus-free-ip=19.1.0.670-2
  68. +
  69. +pkgname = quartus-free-quartus-help
  70. +   pkgdesc = Help for Quartus Prime Lite Edition
  71. +   depends = quartus-free-quartus=19.1.0.670-2
  72. +
  73. +pkgname = quartus-free-quartus-arria_lite
  74. +   pkgdesc = Arria Support for Quartus Prime Lite Edition
  75. +   depends = quartus-free-quartus=19.1.0.670-2
  76. +
  77. +pkgname = quartus-free-quartus-cyclone
  78. +   pkgdesc = Cyclone IV Support for Quartus Prime Lite Edition
  79. +   depends = quartus-free-quartus=19.1.0.670-2
  80. +
  81. +pkgname = quartus-free-quartus-cyclone10lp
  82. +   pkgdesc = Cyclone 10 LP Support for Quartus Prime Lite Edition
  83. +   depends = quartus-free-quartus=19.1.0.670-2
  84. +
  85. +pkgname = quartus-free-quartus-cyclonev
  86. +   pkgdesc = Cyclone V Support for Quartus Prime Lite Edition
  87. +   depends = quartus-free-quartus=19.1.0.670-2
  88. +
  89. +pkgname = quartus-free-quartus-max
  90. +   pkgdesc = MAX II and MAX V Support for Quartus Prime Lite Edition
  91. +   depends = quartus-free-quartus=19.1.0.670-2
  92. +
  93. +pkgname = quartus-free-quartus-max10
  94. +   pkgdesc = MAX 10 Support for Quartus Prime Lite Edition
  95. +   depends = quartus-free-quartus=19.1.0.670-2
  96.  
  97.  pkgname = quartus-free
  98. +   pkgdesc = Quartus Prime Lite Edition design software for Altera FPGA's
  99. +   depends = quartus-free-base
  100. +   depends = quartus-free-ip
  101. +   depends = quartus-free-modelsim_ase
  102. +   depends = quartus-free-nios2eds
  103. +   depends = quartus-free-quartus
  104. +   depends = quartus-free-quartus-help
  105. +   depends = quartus-free-quartus-arria_lite
  106. +   depends = quartus-free-quartus-cyclone
  107. +   depends = quartus-free-quartus-cyclone10lp
  108. +   depends = quartus-free-quartus-cyclonev
  109. +   depends = quartus-free-quartus-max
  110. +   depends = quartus-free-quartus-max10
  111.  
  112. diff --git a/PKGBUILD b/PKGBUILD
  113. index 33b1686..31fcfe2 100644
  114. --- a/PKGBUILD
  115. +++ b/PKGBUILD
  116. @@ -1,17 +1,19 @@
  117.  # Maintainer:  Gabriel Souza Franco <Z2FicmllbGZyYW5jb3NvdXphQGdtYWlsLmNvbQ==>
  118. +# Contributor: Nico Rumpeltin <$forename at $surname dot de>
  119.  # Contributor: Matthias Blaicher <matthias at blaicher dot com>
  120.  # Contributor: Danny Dutton <duttondj@vt.edu>
  121.  #
  122.  # NOTE: If you plan on using the usbblaster make sure you are member of the plugdev group.
  123.  #
  124. -pkgname=quartus-free
  125. +pkgbase=quartus-free
  126. +pkgname=(${pkgbase}-{base,ip,modelsim_ase,nios2eds,quartus,quartus-help,quartus-arria_lite,quartus-cyclone,quartus-cyclone10lp,quartus-cyclonev,quartus-max,quartus-max10} ${pkgbase})
  127.  _mainver=19.1
  128.  # Keep dot in _patchver
  129.  _patchver=.0
  130.  _buildver=670
  131.  _basever=.0.670
  132.  pkgver=${_mainver}${_patchver}.${_buildver}
  133. -pkgrel=1
  134. +pkgrel=2
  135.  pkgdesc="Quartus Prime Lite Edition design software for Altera FPGA's"
  136.  arch=('x86_64')
  137.  url="http://fpgasoftware.intel.com/?edition=lite"
  138. @@ -19,77 +21,217 @@ license=('custom')
  139.  
  140.  _alteradir="/opt/altera/${_mainver}"
  141.  
  142. -# According to the installer script, these dependencies are needed for the installer
  143. -depends=('lib32-expat' 'lib32-fontconfig' 'lib32-freetype2' 'lib32-glibc'
  144. -         'lib32-gtk2' 'lib32-libcanberra' 'lib32-libpng' 'lib32-libice' 'lib32-libsm'
  145. -         'lib32-util-linux' 'lib32-ncurses' 'lib32-ncurses5-compat-libs' 'lib32-zlib'
  146. -         'lib32-libx11' 'lib32-libxau' 'lib32-libxdmcp' 'lib32-libxext' 'lib32-libxft'
  147. -         'lib32-libxrender' 'lib32-libxt' 'lib32-libxtst' 'lib32-tkimg' 'libpng12')
  148. -
  149.  makedepends=('patchelf')
  150.  
  151.  source=("http://download.altera.com/akdlm/software/acdsinst/${_mainver}std${_patchver/.0/}/${_buildver}/ib_tar/Quartus-lite-${pkgver}-linux.tar"
  152. -        'quartus.sh' 'quartus.desktop' 'modelsim-ase.desktop' '51-usbblaster.rules')
  153. +        'quartus.sh' 'quartus.desktop' 'modelsim-ase.sh' 'modelsim-ase.desktop' '51-usbblaster.rules')
  154.  sha256sums=('3546e90f6496b17c3c3e3e8582a3991940ad73ed112740428864460cfab6e40a'
  155. -            '2a3d61e6f01aa16c9d3ddd40e9741b9fd080496db5437530b4e38faf6771c1ed'
  156. +            '86232c74af23eda8b5ee4cf1599ad33505f5a709850589cee6e3bab85e3f54b0'
  157.              'f8ba2a84c6f7551bb4ab62d17f77e2814c70871ddb15f0276f1bf89cc6c674b8'
  158. +            '2a6bde11906744b49c34e23db6f28ae2d1bce6580eec4e6cbb6e576de2b60a05'
  159.              'a37738de447c50ca7bfe856466bd9567850ccf45ac6c3f0a6e63ef5bb863645f'
  160.              'dd9d33fa2698a0ec11ae86f4508f77e2e12bf4a21224f5b16640bc41d6c0999b')
  161.  
  162.  options=(!strip !debug) # Stripping will takes ages, I'd avoid it
  163. -PKGEXT=".pkg.tar" # Same for compression
  164. +PKGEXT=".pkg.tar.lz4" # Use faster lz4 compression
  165. +
  166. +build() {
  167. +    # Remove old installion dir
  168. +    rm -rf "${srcdir}/install"
  169.  
  170. -package() {
  171.      echo "Notice: Requires around 24GB of free space during package building!"
  172.      echo "Notice: The package file also requires around 16GB of free space"
  173.      echo "Extracting install binaries and scripts from downloaded tar..."
  174.  
  175. -    DISPLAY="" bash ./setup.sh --mode unattended --unattendedmodeui none --accept_eula 1 --installdir "${pkgdir}${_alteradir}"
  176. +    DISPLAY="" bash ./setup.sh --mode unattended --unattendedmodeui none --accept_eula 1 --installdir "${srcdir}/install"
  177.  
  178.      # Remove uninstaller and install logs since we have a working package management
  179. -    rm -r "${pkgdir}${_alteradir}/uninstall"
  180. -    rm -r "${pkgdir}${_alteradir}/logs"
  181. +    rm -r "${srcdir}/install/uninstall"
  182. +    rm -r "${srcdir}/install/logs"
  183.  
  184.      # Remove useless unzip binaries
  185. -    find "${pkgdir}${_alteradir}" \( -name "unzip" -or -name "unzip32" \) -delete
  186. +    find "${srcdir}/install" \( -name "unzip" -or -name "unzip32" \) -delete
  187.  
  188.      # Fix interpreter for the license manager
  189.      for prog in quartus/linux64/{lmutil,lmgrd}; do
  190. -        patchelf --set-interpreter /lib64/ld-linux-x86-64.so.2 "${pkgdir}${_alteradir}/${prog}"
  191. +        patchelf --set-interpreter /lib64/ld-linux-x86-64.so.2 "${srcdir}/install/${prog}"
  192.      done
  193.      for prog in modelsim_ase/linuxaloem/{mgls/bin/,}{lmutil,lmgrd}; do
  194. -        patchelf --set-interpreter /lib/ld-linux.so.2 "${pkgdir}${_alteradir}/${prog}"
  195. +        patchelf --set-interpreter /lib/ld-linux.so.2 "${srcdir}/install/${prog}"
  196.      done
  197.  
  198.      # Fix world writable permissions
  199. -    find "${pkgdir}${_alteradir}/nios2eds/documents" -perm -o+w -exec chmod go-w {} \+
  200. +    find "${srcdir}/install/nios2eds/documents" -perm -o+w -exec chmod go-w {} \+
  201.  
  202.      # Replace altera directory in integration files
  203.      sed -i "s,_alteradir,${_alteradir},g" quartus.sh
  204.      sed -i "s,_alteradir,${_alteradir},g" quartus.desktop
  205.      sed -i "s,_alteradir,${_alteradir},g" modelsim-ase.desktop
  206. -    
  207. +
  208.      # Fix modelsim startup code for Linux Kernel >=4.0
  209.      # see https://wiki.archlinux.org/index.php/Altera_Design_Software
  210. -    sed -i 's,linux_rh60,linux,g' "${pkgdir}${_alteradir}/modelsim_ase/vco"
  211. +    sed -i 's,linux_rh60,linux,g' "${srcdir}/install/modelsim_ase/vco"
  212.  
  213.      # Modelsim bundles a copy of tkImg linked to old versions of libs
  214.      # Replace by system version
  215. -    rm -rf "${pkgdir}${_alteradir}/modelsim_ase/linuxaloem/Img1.3"
  216. -    ln -s /usr/lib32/Img[0-9]* "${pkgdir}${_alteradir}/modelsim_ase/linuxaloem/Img"
  217. +    rm -rf "${srcdir}/install/modelsim_ase/linuxaloem/Img1.3"
  218. +    ln -s /usr/lib32/Img[0-9]* "${srcdir}/install/modelsim_ase/linuxaloem/Img"
  219.  
  220.      # Remove pkgdir reference in sopc_builder
  221. -    sed -i "s,${pkgdir},,g" "${pkgdir}${_alteradir}/quartus/sopc_builder/.sopc_builder"
  222. +    sed -i "s,${pkgdir},,g" "${srcdir}/install/quartus/sopc_builder/.sopc_builder"
  223.  
  224.      # Suppress spurious warning about linux-gate.so.1
  225. -    sed -i '/msg_system/a suppress = 3116' "${pkgdir}${_alteradir}/modelsim_ase/modelsim.ini"
  226. +    sed -i '/msg_system/a suppress = 3116' "${srcdir}/install/modelsim_ase/modelsim.ini"
  227. +
  228. +
  229. +    # move quartus prime help to seperate folder
  230. +    mkdir -p "${srcdir}/install/help/"
  231. +    mv "${srcdir}/install/quartus/common/help/tutorial" "${srcdir}/install/help/"
  232. +    mv "${srcdir}/install/quartus/common/help/webhelp" "${srcdir}/install/help/"
  233. +
  234. +    # move quartus prime device infos to seperate folder
  235. +    mkdir -p "${srcdir}/install/devinfo"
  236. +    mv "${srcdir}/install/quartus/common/devinfo/arriaii" "${srcdir}/install/devinfo/"
  237. +    mv "${srcdir}/install/quartus/common/devinfo/cycloneive" "${srcdir}/install/devinfo/"
  238. +    mv "${srcdir}/install/quartus/common/devinfo/cycloneivgx" "${srcdir}/install/devinfo/"
  239. +    mv "${srcdir}/install/quartus/common/devinfo/cyclone10lp" "${srcdir}/install/devinfo/"
  240. +    mv "${srcdir}/install/quartus/common/devinfo/cyclonev" "${srcdir}/install/devinfo/"
  241. +    mv "${srcdir}/install/quartus/common/devinfo/maxii" "${srcdir}/install/devinfo/"
  242. +    mv "${srcdir}/install/quartus/common/devinfo/maxv" "${srcdir}/install/devinfo/"
  243. +    mv "${srcdir}/install/quartus/common/devinfo/55nm" "${srcdir}/install/devinfo/"
  244. +}
  245. +
  246. +package_quartus-free-base() {
  247. +    pkgdesc="Quartus Prime Lite Edition design software for Altera FPGA's (base)"
  248. +    # According to the installer script, these dependencies are needed for the installer
  249. +    depends=('lib32-expat' 'lib32-fontconfig' 'lib32-freetype2' 'lib32-glibc'
  250. +             'lib32-gtk2' 'lib32-libcanberra' 'lib32-libpng' 'lib32-libice' 'lib32-libsm'
  251. +             'lib32-util-linux' 'lib32-ncurses' 'lib32-ncurses5-compat-libs' 'lib32-zlib'
  252. +             'lib32-libx11' 'lib32-libxau' 'lib32-libxdmcp' 'lib32-libxext' 'lib32-libxft'
  253. +             'lib32-libxrender' 'lib32-libxt' 'lib32-libxtst' 'lib32-tkimg' 'libpng12')
  254.  
  255.      # Copy license file
  256. -    install -D -m644 "${pkgdir}${_alteradir}/licenses/license.txt" "${pkgdir}/usr/share/licenses/${pkgname}/LICENSE"
  257. +    install -D -m644 "${srcdir}/install/licenses/license.txt" "${pkgdir}/usr/share/licenses/${pkgbase}/LICENSE"
  258. +
  259. +    # Copy license directory
  260. +    mkdir -p "${pkgdir}${_alteradir}"
  261. +    mv "${srcdir}/install/licenses" "${pkgdir}${_alteradir}"
  262. +}
  263. +
  264. +package_quartus-free-ip() {
  265. +    pkgdesc="IP for Quartus Prime Lite Edition"
  266. +    depends=("quartus-free-base=$pkgver-$pkgrel")
  267. +
  268. +    # Install ip
  269. +    mkdir -p "${pkgdir}${_alteradir}"
  270. +    mv "${srcdir}/install/ip" "${pkgdir}${_alteradir}"
  271. +}
  272. +
  273. +package_quartus-free-modelsim_ase(){
  274. +    pkgdesc="ModelSim-Intel FPGA Starter Edition"
  275. +    depends=("quartus-free-base=$pkgver-$pkgrel")
  276.  
  277. -    # Install integration files
  278. +    # Install modelsim integration files
  279. +    install -D -m755 modelsim-ase.sh "${pkgdir}/etc/profile.d/modelsim-ase.sh"
  280. +    install -D -m644 modelsim-ase.desktop "${pkgdir}/usr/share/applications/modelsim-ase.desktop"
  281. +
  282. +    # Install modelsim
  283. +    mkdir -p "${pkgdir}${_alteradir}"
  284. +    mv "${srcdir}/install/modelsim_ase" "${pkgdir}${_alteradir}"
  285. +}
  286. +
  287. +package_quartus-free-nios2eds(){
  288. +    pkgdesc="Nios II Embedded Design Suite"
  289. +    depends=("quartus-free-base=$pkgver-$pkgrel")
  290. +
  291. +    # Install nios2eds
  292. +    mkdir -p "${pkgdir}${_alteradir}"
  293. +    mv "${srcdir}/install/nios2eds" "${pkgdir}${_alteradir}"
  294. +}
  295. +
  296. +package_quartus-free-quartus(){
  297. +    pkgdesc="Quartus Prime Lite Edition"
  298. +    depends=("quartus-free-base=$pkgver-$pkgrel" "quartus-free-ip=$pkgver-$pkgrel")
  299. +
  300. +    # Install quartus integration files
  301.      install -D -m755 quartus.sh "${pkgdir}/etc/profile.d/quartus.sh"
  302. -    install -D -m644 51-usbblaster.rules "${pkgdir}/etc/udev/rules.d/51-usbblaster.rules"
  303.      install -D -m644 quartus.desktop "${pkgdir}/usr/share/applications/quartus.desktop"
  304. -    install -D -m644 modelsim-ase.desktop "${pkgdir}/usr/share/applications/modelsim-ase.desktop"
  305. +    # Install usb blaster udev rule
  306. +    install -D -m644 51-usbblaster.rules "${pkgdir}/etc/udev/rules.d/51-usbblaster.rules"
  307. +
  308. +    # Install quartus
  309. +    mkdir -p "${pkgdir}${_alteradir}"
  310. +    mv "${srcdir}/install/quartus" "${pkgdir}${_alteradir}"
  311. +}
  312. +
  313. +package_quartus-free-quartus-help(){
  314. +    pkgdesc="Help for Quartus Prime Lite Edition"
  315. +    depends=("quartus-free-quartus=$pkgver-$pkgrel")
  316. +
  317. +    # Install quartus help
  318. +    mkdir -p "${pkgdir}${_alteradir}/quartus/common/help/"
  319. +    mv "${srcdir}/install/help/tutorial" "${pkgdir}${_alteradir}/quartus/common/help/"
  320. +    mv "${srcdir}/install/help/webhelp" "${pkgdir}${_alteradir}/quartus/common/help/"
  321. +}
  322. +
  323. +package_quartus-free-quartus-arria_lite(){
  324. +    pkgdesc="Arria Support for Quartus Prime Lite Edition"
  325. +    depends=("quartus-free-quartus=$pkgver-$pkgrel")
  326. +
  327. +    # Install arria device support
  328. +    mkdir -p "${pkgdir}${_alteradir}/quartus/common/devinfo/"
  329. +    mv "${srcdir}/install/devinfo/arriaii" "${pkgdir}${_alteradir}/quartus/common/devinfo/"
  330. +}
  331. +
  332. +package_quartus-free-quartus-cyclone(){
  333. +    pkgdesc="Cyclone IV Support for Quartus Prime Lite Edition"
  334. +    depends=("quartus-free-quartus=$pkgver-$pkgrel")
  335. +
  336. +    # Install cyclone iv device support
  337. +    mkdir -p "${pkgdir}${_alteradir}/quartus/common/devinfo/"
  338. +    mv "${srcdir}/install/devinfo/cycloneive" "${pkgdir}${_alteradir}/quartus/common/devinfo/"
  339. +    mv "${srcdir}/install/devinfo/cycloneivgx" "${pkgdir}${_alteradir}/quartus/common/devinfo/"
  340. +}
  341. +
  342. +package_quartus-free-quartus-cyclone10lp(){
  343. +    pkgdesc="Cyclone 10 LP Support for Quartus Prime Lite Edition"
  344. +    depends=("quartus-free-quartus=$pkgver-$pkgrel")
  345. +
  346. +    # Install cyclone 10 lp device support
  347. +    mkdir -p "${pkgdir}${_alteradir}/quartus/common/devinfo/"
  348. +    mv "${srcdir}/install/devinfo/cyclone10lp" "${pkgdir}${_alteradir}/quartus/common/devinfo/"
  349. +}
  350. +
  351. +package_quartus-free-quartus-cyclonev(){
  352. +    pkgdesc="Cyclone V Support for Quartus Prime Lite Edition"
  353. +    depends=("quartus-free-quartus=$pkgver-$pkgrel")
  354. +
  355. +    # Install cyclone V device support
  356. +    mkdir -p "${pkgdir}${_alteradir}/quartus/common/devinfo/"
  357. +    mv "${srcdir}/install/devinfo/cyclonev" "${pkgdir}${_alteradir}/quartus/common/devinfo/"
  358. +}
  359. +
  360. +package_quartus-free-quartus-max(){
  361. +    pkgdesc="MAX II and MAX V Support for Quartus Prime Lite Edition"
  362. +    depends=("quartus-free-quartus=$pkgver-$pkgrel")
  363. +
  364. +    # Install max II and max V device support
  365. +    mkdir -p "${pkgdir}${_alteradir}/quartus/common/devinfo/"
  366. +    mv "${srcdir}/install/devinfo/maxii" "${pkgdir}${_alteradir}/quartus/common/devinfo/"
  367. +    mv "${srcdir}/install/devinfo/maxv" "${pkgdir}${_alteradir}/quartus/common/devinfo/"
  368. +}
  369. +
  370. +package_quartus-free-quartus-max10(){
  371. +    pkgdesc="MAX 10 Support for Quartus Prime Lite Edition"
  372. +    depends=("quartus-free-quartus=$pkgver-$pkgrel")
  373. +
  374. +    # Install max 10 device support
  375. +    mkdir -p "${pkgdir}${_alteradir}/quartus/common/devinfo/"
  376. +    mv "${srcdir}/install/devinfo/55nm" "${pkgdir}${_alteradir}/quartus/common/devinfo/"
  377. +}
  378. +
  379. +#metapackage to install everything
  380. +package_quartus-free() {
  381. +    pkgdesc="Quartus Prime Lite Edition design software for Altera FPGA's"
  382. +    depends=(${pkgbase}-{base,ip,modelsim_ase,nios2eds,quartus,quartus-help,quartus-arria_lite,quartus-cyclone,quartus-cyclone10lp,quartus-cyclonev,quartus-max,quartus-max10})
  383.  }
  384. diff --git a/modelsim-ase.sh b/modelsim-ase.sh
  385. new file mode 100644
  386. index 0000000..b056e9d
  387. --- /dev/null
  388. +++ b/modelsim-ase.sh
  389. @@ -0,0 +1 @@
  390. +export PATH=$PATH:_alteradir/modelsim_ase/bin
  391. diff --git a/quartus.sh b/quartus.sh
  392. index cf627ae..5080e68 100644
  393. --- a/quartus.sh
  394. +++ b/quartus.sh
  395. @@ -1,4 +1,5 @@
  396. -export PATH=$PATH:_alteradir/quartus/bin:_alteradir/modelsim_ase/bin
  397. +export PATH=$PATH:_alteradir/quartus/bin:_alteradir/quartus/sopc_builder/bin
  398. +export QUARTUS_ROOTDIR=_alteradir/quartus/
  399.  
  400.  # Detect if a 64bit machine and activate quartus
  401.  # depending on it.
Add Comment
Please, Sign In to add comment