Advertisement
Guest User

Untitled

a guest
Nov 23rd, 2017
63
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.40 KB | None | 0 0
  1. LIBRARY ieee;
  2. USE ieee.std_logic_1164.all;
  3.  
  4. ENTITY latch1 IS
  5. PORT (A: IN STD_LOGIC_VECTOR(7 DOWNTO 0);
  6. Resetn, Clock: IN STD_LOGIC;
  7. Q: OUT STD_LOGIC_VECTOR(7 DOWNTO 0));
  8. END latch1;
  9. ARCHITECTURE Behaviour OF latch1 IS
  10. BEGIN
  11. PROCESS(Resetn, Clock)
  12. BEGIN
  13. IF Resetn = '1' THEN
  14. Q<="00000000";
  15. ELSIF Clock'EVENT AND Clock = '1' THEN
  16. Q<=A;
  17. END IF;
  18. END PROCESS;
  19. END Behaviour;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement