Advertisement
Guest User

Untitled

a guest
May 17th, 2020
722
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 17.54 KB | None | 0 0
  1. Info: *******************************************************************
  2. Info: Running Quartus Prime Analysis & Synthesis
  3. Info: Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition
  4. Info: Processing started: Sun May 17 19:36:48 2020
  5. Info: Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition
  6. Info: Processing started: Sun May 17 19:36:48 2020
  7. Info: Command: quartus_map --read_settings_files=on --write_settings_files=off led_twinkle -c led_twinkle
  8. Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance.
  9. Info (20030): Parallel compilation is enabled and will use 6 of the 6 processors detected
  10. Info (12021): Found 1 design units, including 1 entities, in source file led_twinkle.v
  11. Info (12023): Found entity 1: led_twinkle
  12. Info (12023): Found entity 1: led_twinkle
  13. Info (12127): Elaborating entity "led_twinkle" for the top level hierarchy
  14. Warning (10230): Verilog HDL assignment warning at led_twinkle.v(24): truncated value with size 32 to match size of target (25)
  15. Info (286030): Timing-Driven Synthesis is running
  16. Info (16010): Generating hard_block partition "hard_block:auto_generated_inst"
  17. Info (16011): Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL
  18. Info (16011): Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL
  19. Info (21057): Implemented 52 device resources after synthesis - the final resource count might be different
  20. Info (21058): Implemented 1 input pins
  21. Info (21059): Implemented 4 output pins
  22. Info (21061): Implemented 47 logic cells
  23. Info (21058): Implemented 1 input pins
  24. Info (21059): Implemented 4 output pins
  25. Info (21061): Implemented 47 logic cells
  26. Info: Quartus Prime Analysis & Synthesis was successful. 0 errors, 2 warnings
  27. Info: Peak virtual memory: 4779 megabytes
  28. Info: Processing ended: Sun May 17 19:36:59 2020
  29. Info: Elapsed time: 00:00:11
  30. Info: Total CPU time (on all processors): 00:00:25
  31. Info: Peak virtual memory: 4779 megabytes
  32. Info: Processing ended: Sun May 17 19:36:59 2020
  33. Info: Elapsed time: 00:00:11
  34. Info: Total CPU time (on all processors): 00:00:25
  35. Info: *******************************************************************
  36. Info: Running Quartus Prime Fitter
  37. Info: Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition
  38. Info: Processing started: Sun May 17 19:37:00 2020
  39. Info: Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition
  40. Info: Processing started: Sun May 17 19:37:00 2020
  41. Info: Command: quartus_fit --read_settings_files=off --write_settings_files=off led_twinkle -c led_twinkle
  42. Info: qfit2_default_script.tcl version: #1
  43. Info: Project = led_twinkle
  44. Info: Revision = led_twinkle
  45. Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance.
  46. Info (20030): Parallel compilation is enabled and will use 6 of the 6 processors detected
  47. Info (119006): Selected device EP4CE6E22C8 for design "led_twinkle"
  48. Info (21077): Low junction temperature is 0 degrees C
  49. Info (21077): High junction temperature is 85 degrees C
  50. Info (171003): Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time
  51. Warning (292013): Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature.
  52. Info (176444): Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices
  53. Info (176445): Device EP4CE10E22C8 is compatible
  54. Info (176445): Device EP4CE15E22C8 is compatible
  55. Info (176445): Device EP4CE22E22C8 is compatible
  56. Info (176445): Device EP4CE10E22C8 is compatible
  57. Info (176445): Device EP4CE15E22C8 is compatible
  58. Info (176445): Device EP4CE22E22C8 is compatible
  59. Info (169124): Fitter converted 5 user pins into dedicated programming pins
  60. Info (169125): Pin ~ALTERA_ASDO_DATA1~ is reserved at location 6
  61. Info (169125): Pin ~ALTERA_FLASH_nCE_nCSO~ is reserved at location 8
  62. Info (169125): Pin ~ALTERA_DCLK~ is reserved at location 12
  63. Info (169125): Pin ~ALTERA_DATA0~ is reserved at location 13
  64. Info (169125): Pin ~ALTERA_nCEO~ is reserved at location 101
  65. Info (169125): Pin ~ALTERA_ASDO_DATA1~ is reserved at location 6
  66. Info (169125): Pin ~ALTERA_FLASH_nCE_nCSO~ is reserved at location 8
  67. Info (169125): Pin ~ALTERA_DCLK~ is reserved at location 12
  68. Info (169125): Pin ~ALTERA_DATA0~ is reserved at location 13
  69. Info (169125): Pin ~ALTERA_nCEO~ is reserved at location 101
  70. Warning (15714): Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details
  71. Critical Warning (332012): Synopsys Design Constraints File file not found: 'led_twinkle.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design.
  72. Info (332144): No user constrained base clocks found in the design
  73. Info (332143): No user constrained clock uncertainty found in the design. Calling "derive_clock_uncertainty"
  74. Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers.
  75. Info (332130): Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time.
  76. Info (176353): Automatically promoted node clk~input (placed in PIN 23 (CLK1, DIFFCLK_0n))
  77. Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G2
  78. Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G2
  79. Info (176233): Starting register packing
  80. Info (176235): Finished register packing
  81. Extra Info (176219): No registers were packed into other blocks
  82. Extra Info (176219): No registers were packed into other blocks
  83. Info (171121): Fitter preparation operations ending: elapsed time is 00:00:01
  84. Info (14896): Fitter has disabled Advanced Physical Optimization because it is not supported for the current family.
  85. Info (170189): Fitter placement preparation operations beginning
  86. Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:00
  87. Info (170191): Fitter placement operations beginning
  88. Info (170137): Fitter placement was successful
  89. Info (170192): Fitter placement operations ending: elapsed time is 00:00:00
  90. Info (170193): Fitter routing operations beginning
  91. Info (170195): Router estimated average interconnect usage is 0% of the available device resources
  92. Info (170196): Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X23_Y0 to location X34_Y11
  93. Info (170196): Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X23_Y0 to location X34_Y11
  94. Info (170199): The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time.
  95. Info (170201): Optimizations that may affect the design's routability were skipped
  96. Info (170201): Optimizations that may affect the design's routability were skipped
  97. Info (170194): Fitter routing operations ending: elapsed time is 00:00:00
  98. Info (11888): Total time spent on timing analysis during the Fitter is 0.14 seconds.
  99. Info (334003): Started post-fitting delay annotation
  100. Info (334004): Delay annotation completed successfully
  101. Info (334003): Started post-fitting delay annotation
  102. Info (334004): Delay annotation completed successfully
  103. Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:01
  104. Warning (169177): 1 pins must meet Intel FPGA requirements for 3.3-, 3.0-, and 2.5-V interfaces. For more information, refer to AN 447: Interfacing Cyclone IV E Devices with 3.3/3.0/2.5-V LVTTL/LVCMOS I/O Systems.
  105. Info (169178): Pin clk uses I/O standard 3.3-V LVTTL at 23
  106. Info (169178): Pin clk uses I/O standard 3.3-V LVTTL at 23
  107. Info (144001): Generated suppressed messages file D:/FPGA_HDL/projects/quartus/led_twinkle/led_twinkle.fit.smsg
  108. Info: Quartus Prime Fitter was successful. 0 errors, 5 warnings
  109. Info: Peak virtual memory: 5625 megabytes
  110. Info: Processing ended: Sun May 17 19:37:07 2020
  111. Info: Elapsed time: 00:00:07
  112. Info: Total CPU time (on all processors): 00:00:06
  113. Info: Peak virtual memory: 5625 megabytes
  114. Info: Processing ended: Sun May 17 19:37:07 2020
  115. Info: Elapsed time: 00:00:07
  116. Info: Total CPU time (on all processors): 00:00:06
  117. Info: *******************************************************************
  118. Info: Running Quartus Prime Assembler
  119. Info: Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition
  120. Info: Processing started: Sun May 17 19:37:09 2020
  121. Info: Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition
  122. Info: Processing started: Sun May 17 19:37:09 2020
  123. Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off led_twinkle -c led_twinkle
  124. Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance.
  125. Info (115031): Writing out detailed assembly data for power analysis
  126. Info (115030): Assembler is generating device programming files
  127. Info: Quartus Prime Assembler was successful. 0 errors, 1 warning
  128. Info: Peak virtual memory: 4683 megabytes
  129. Info: Processing ended: Sun May 17 19:37:10 2020
  130. Info: Elapsed time: 00:00:01
  131. Info: Total CPU time (on all processors): 00:00:01
  132. Info: Peak virtual memory: 4683 megabytes
  133. Info: Processing ended: Sun May 17 19:37:10 2020
  134. Info: Elapsed time: 00:00:01
  135. Info: Total CPU time (on all processors): 00:00:01
  136. Info (293026): Skipped module Power Analyzer due to the assignment FLOW_ENABLE_POWER_ANALYZER
  137. Info: *******************************************************************
  138. Info: Running Quartus Prime Timing Analyzer
  139. Info: Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition
  140. Info: Processing started: Sun May 17 19:37:11 2020
  141. Info: Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition
  142. Info: Processing started: Sun May 17 19:37:11 2020
  143. Info: Command: quartus_sta led_twinkle -c led_twinkle
  144. Info: qsta_default_script.tcl version: #1
  145. Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance.
  146. Info (20030): Parallel compilation is enabled and will use 6 of the 6 processors detected
  147. Info (21077): Low junction temperature is 0 degrees C
  148. Info (21077): High junction temperature is 85 degrees C
  149. Critical Warning (332012): Synopsys Design Constraints File file not found: 'led_twinkle.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design.
  150. Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0"
  151. Info (332105): Deriving Clocks
  152. Info (332105): create_clock -period 1.000 -name clk clk
  153. Info (332105): create_clock -period 1.000 -name clk clk
  154. Info (332143): No user constrained clock uncertainty found in the design. Calling "derive_clock_uncertainty"
  155. Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties.
  156. Info: Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON
  157. Info: Analyzing Slow 1200mV 85C Model
  158. Critical Warning (332148): Timing requirements not met
  159. Info (11105): For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer.
  160. Info (11105): For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer.
  161. Info (332146): Worst-case setup slack is -3.286
  162. Info (332119): Slack End Point TNS Clock
  163. Info (332119): ========= =================== =====================
  164. Info (332119): -3.286 -59.760 clk
  165. Info (332119): Slack End Point TNS Clock
  166. Info (332119): ========= =================== =====================
  167. Info (332119): -3.286 -59.760 clk
  168. Info (332146): Worst-case hold slack is 0.453
  169. Info (332119): Slack End Point TNS Clock
  170. Info (332119): ========= =================== =====================
  171. Info (332119): 0.453 0.000 clk
  172. Info (332119): Slack End Point TNS Clock
  173. Info (332119): ========= =================== =====================
  174. Info (332119): 0.453 0.000 clk
  175. Info (332140): No Recovery paths to report
  176. Info (332140): No Removal paths to report
  177. Info (332146): Worst-case minimum pulse width slack is -3.000
  178. Info (332119): Slack End Point TNS Clock
  179. Info (332119): ========= =================== =====================
  180. Info (332119): -3.000 -41.662 clk
  181. Info (332119): Slack End Point TNS Clock
  182. Info (332119): ========= =================== =====================
  183. Info (332119): -3.000 -41.662 clk
  184. Warning (18330): Ignoring Synchronizer Identification setting Off, and using Auto instead.
  185. Info: Analyzing Slow 1200mV 0C Model
  186. Info (334003): Started post-fitting delay annotation
  187. Info (334004): Delay annotation completed successfully
  188. Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties.
  189. Critical Warning (332148): Timing requirements not met
  190. Info (11105): For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer.
  191. Info (11105): For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer.
  192. Info (332146): Worst-case setup slack is -2.899
  193. Info (332119): Slack End Point TNS Clock
  194. Info (332119): ========= =================== =====================
  195. Info (332119): -2.899 -53.721 clk
  196. Info (332119): Slack End Point TNS Clock
  197. Info (332119): ========= =================== =====================
  198. Info (332119): -2.899 -53.721 clk
  199. Info (332146): Worst-case hold slack is 0.402
  200. Info (332119): Slack End Point TNS Clock
  201. Info (332119): ========= =================== =====================
  202. Info (332119): 0.402 0.000 clk
  203. Info (332119): Slack End Point TNS Clock
  204. Info (332119): ========= =================== =====================
  205. Info (332119): 0.402 0.000 clk
  206. Info (332140): No Recovery paths to report
  207. Info (332140): No Removal paths to report
  208. Info (332146): Worst-case minimum pulse width slack is -3.000
  209. Info (332119): Slack End Point TNS Clock
  210. Info (332119): ========= =================== =====================
  211. Info (332119): -3.000 -41.662 clk
  212. Info (332119): Slack End Point TNS Clock
  213. Info (332119): ========= =================== =====================
  214. Info (332119): -3.000 -41.662 clk
  215. Warning (18330): Ignoring Synchronizer Identification setting Off, and using Auto instead.
  216. Info: Analyzing Fast 1200mV 0C Model
  217. Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties.
  218. Critical Warning (332148): Timing requirements not met
  219. Info (11105): For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer.
  220. Info (11105): For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer.
  221. Info (332146): Worst-case setup slack is -0.894
  222. Info (332119): Slack End Point TNS Clock
  223. Info (332119): ========= =================== =====================
  224. Info (332119): -0.894 -11.686 clk
  225. Info (332119): Slack End Point TNS Clock
  226. Info (332119): ========= =================== =====================
  227. Info (332119): -0.894 -11.686 clk
  228. Info (332146): Worst-case hold slack is 0.187
  229. Info (332119): Slack End Point TNS Clock
  230. Info (332119): ========= =================== =====================
  231. Info (332119): 0.187 0.000 clk
  232. Info (332119): Slack End Point TNS Clock
  233. Info (332119): ========= =================== =====================
  234. Info (332119): 0.187 0.000 clk
  235. Info (332140): No Recovery paths to report
  236. Info (332140): No Removal paths to report
  237. Info (332146): Worst-case minimum pulse width slack is -3.000
  238. Info (332119): Slack End Point TNS Clock
  239. Info (332119): ========= =================== =====================
  240. Info (332119): -3.000 -36.730 clk
  241. Info (332119): Slack End Point TNS Clock
  242. Info (332119): ========= =================== =====================
  243. Info (332119): -3.000 -36.730 clk
  244. Warning (18330): Ignoring Synchronizer Identification setting Off, and using Auto instead.
  245. Info (332102): Design is not fully constrained for setup requirements
  246. Info (332102): Design is not fully constrained for hold requirements
  247. Info: Quartus Prime Timing Analyzer was successful. 0 errors, 8 warnings
  248. Info: Peak virtual memory: 4777 megabytes
  249. Info: Processing ended: Sun May 17 19:37:14 2020
  250. Info: Elapsed time: 00:00:03
  251. Info: Total CPU time (on all processors): 00:00:02
  252. Info: Peak virtual memory: 4777 megabytes
  253. Info: Processing ended: Sun May 17 19:37:14 2020
  254. Info: Elapsed time: 00:00:03
  255. Info: Total CPU time (on all processors): 00:00:02
  256. Info (293000): Quartus Prime Full Compilation was successful. 0 errors, 16 warnings
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement