Advertisement
lasthunter657

Untitled

Dec 21st, 2021
192
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.28 KB | None | 0 0
  1. library IEEE;
  2. use IEEE.STD_LOGIC_1164.ALL;
  3.  
  4. entity FA is
  5. port(a, b, c_in : in std_logic;
  6. s, c_out : out std_logic);
  7. end FA;
  8.  
  9. architecture Behavioral of FA is
  10. begin
  11.  
  12. s <= a xor b xor c_in;
  13. c_out <= ( (a xor b) and c_in ) or (a and b);
  14.  
  15. end Behavioral;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement