Advertisement
Guest User

Untitled

a guest
Dec 26th, 2018
69
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. `timescale 1ns / 1ps
  2.  
  3. module test_simple_gates();
  4.  
  5. reg  [3:0] t_sw;
  6. wire [1:0] t_led;
  7. integer I = 0;
  8.  
  9. artix7 DUT
  10. (
  11.     .sw (t_sw),
  12.     .led(t_led)
  13. );
  14.  
  15. initial begin
  16.        
  17.         $display("%0t: Starting TPG.", $time);
  18.    
  19.         for (i = 0; i <= 15; i=i+1) begin
  20.             #10 t_sw = i;
  21.             #1
  22.             if ( ( (t_sw[0] || t_sw[1]) == t_led[0] ) && ( (t_sw[2] && t_sw[3]) == t_led[1] ) )
  23.                $display("%0t Test PASSED for pattern %b", $time, t_sw);
  24.             else
  25.                $display("%0t Test FAILED for pattern %b - result is %b", $time, t_sw, t_led);  
  26.         end
  27.  
  28.         #10 $display("%0t: Finished TPG.", $time);
  29.    
  30.         $stop;
  31.        
  32.     end
  33.    
  34. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement