Advertisement
Guest User

Untitled

a guest
Mar 25th, 2019
70
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 5.73 KB | None | 0 0
  1. # This file is a general .xdc for the Basys3 rev B board
  2. # To use it in a project:
  3. # - uncomment the lines corresponding to used pins
  4. # - rename the used ports (in each line, after get_ports) according to the top level signal names in the project
  5.  
  6. # Clock signal
  7. set_property PACKAGE_PIN W5 [get_ports clk]
  8. set_property IOSTANDARD LVCMOS33 [get_ports clk]
  9. #create_clock -add -name sys_clk_pin -period 10.00 -waveform {0 5} [get_ports clk]
  10.  
  11. # Switches
  12. set_property PACKAGE_PIN V17 [get_ports {sw[0]}]
  13. set_property IOSTANDARD LVCMOS33 [get_ports {sw[0]}]
  14. set_property PACKAGE_PIN V16 [get_ports {sw[1]}]
  15. set_property IOSTANDARD LVCMOS33 [get_ports {sw[1]}]
  16. set_property PACKAGE_PIN W16 [get_ports {sw[2]}]
  17. set_property IOSTANDARD LVCMOS33 [get_ports {sw[2]}]
  18. set_property PACKAGE_PIN W17 [get_ports {sw[3]}]
  19. set_property IOSTANDARD LVCMOS33 [get_ports {sw[3]}]
  20. set_property PACKAGE_PIN W15 [get_ports {sw[4]}]
  21. set_property IOSTANDARD LVCMOS33 [get_ports {sw[4]}]
  22. set_property PACKAGE_PIN V15 [get_ports {sw[5]}]
  23. set_property IOSTANDARD LVCMOS33 [get_ports {sw[5]}]
  24. set_property PACKAGE_PIN W14 [get_ports {sw[6]}]
  25. set_property IOSTANDARD LVCMOS33 [get_ports {sw[6]}]
  26. set_property PACKAGE_PIN W13 [get_ports {sw[7]}]
  27. set_property IOSTANDARD LVCMOS33 [get_ports {sw[7]}]
  28. set_property PACKAGE_PIN V2 [get_ports {sw[8]}]
  29. set_property IOSTANDARD LVCMOS33 [get_ports {sw[8]}]
  30. set_property PACKAGE_PIN T3 [get_ports {sw[9]}]
  31. set_property IOSTANDARD LVCMOS33 [get_ports {sw[9]}]
  32. set_property PACKAGE_PIN T2 [get_ports {sw[10]}]
  33. set_property IOSTANDARD LVCMOS33 [get_ports {sw[10]}]
  34. set_property PACKAGE_PIN R3 [get_ports {sw[11]}]
  35. set_property IOSTANDARD LVCMOS33 [get_ports {sw[11]}]
  36. set_property PACKAGE_PIN W2 [get_ports {sw[12]}]
  37. set_property IOSTANDARD LVCMOS33 [get_ports {sw[12]}]
  38. set_property PACKAGE_PIN U1 [get_ports {sw[13]}]
  39. set_property IOSTANDARD LVCMOS33 [get_ports {sw[13]}]
  40. set_property PACKAGE_PIN T1 [get_ports {sw[14]}]
  41. set_property IOSTANDARD LVCMOS33 [get_ports {sw[14]}]
  42. set_property PACKAGE_PIN R2 [get_ports {sw[15]}]
  43. set_property IOSTANDARD LVCMOS33 [get_ports {sw[15]}]
  44.  
  45.  
  46. # LEDs
  47. set_property PACKAGE_PIN U16 [get_ports {led[0]}]
  48. set_property IOSTANDARD LVCMOS33 [get_ports {led[0]}]
  49. set_property PACKAGE_PIN E19 [get_ports {led[1]}]
  50. set_property IOSTANDARD LVCMOS33 [get_ports {led[1]}]
  51. set_property PACKAGE_PIN U19 [get_ports {led[2]}]
  52. set_property IOSTANDARD LVCMOS33 [get_ports {led[2]}]
  53. set_property PACKAGE_PIN V19 [get_ports {led[3]}]
  54. set_property IOSTANDARD LVCMOS33 [get_ports {led[3]}]
  55. set_property PACKAGE_PIN W18 [get_ports {led[4]}]
  56. set_property IOSTANDARD LVCMOS33 [get_ports {led[4]}]
  57. set_property PACKAGE_PIN U15 [get_ports {led[5]}]
  58. set_property IOSTANDARD LVCMOS33 [get_ports {led[5]}]
  59. set_property PACKAGE_PIN U14 [get_ports {led[6]}]
  60. set_property IOSTANDARD LVCMOS33 [get_ports {led[6]}]
  61. set_property PACKAGE_PIN V14 [get_ports {led[7]}]
  62. set_property IOSTANDARD LVCMOS33 [get_ports {led[7]}]
  63. set_property PACKAGE_PIN V13 [get_ports {led[8]}]
  64. set_property IOSTANDARD LVCMOS33 [get_ports {led[8]}]
  65. set_property PACKAGE_PIN V3 [get_ports {led[9]}]
  66. set_property IOSTANDARD LVCMOS33 [get_ports {led[9]}]
  67. set_property PACKAGE_PIN W3 [get_ports {led[10]}]
  68. set_property IOSTANDARD LVCMOS33 [get_ports {led[10]}]
  69. set_property PACKAGE_PIN U3 [get_ports {led[11]}]
  70. set_property IOSTANDARD LVCMOS33 [get_ports {led[11]}]
  71. set_property PACKAGE_PIN P3 [get_ports {led[12]}]
  72. set_property IOSTANDARD LVCMOS33 [get_ports {led[12]}]
  73. set_property PACKAGE_PIN N3 [get_ports {led[13]}]
  74. set_property IOSTANDARD LVCMOS33 [get_ports {led[13]}]
  75. set_property PACKAGE_PIN P1 [get_ports {led[14]}]
  76. set_property IOSTANDARD LVCMOS33 [get_ports {led[14]}]
  77. set_property PACKAGE_PIN L1 [get_ports {led[15]}]
  78. set_property IOSTANDARD LVCMOS33 [get_ports {led[15]}]
  79.  
  80.  
  81. #7 catment display
  82. set_property PACKAGE_PIN W7 [get_ports {cat[0]}]
  83. set_property IOSTANDARD LVCMOS33 [get_ports {cat[0]}]
  84. set_property PACKAGE_PIN W6 [get_ports {cat[1]}]
  85. set_property IOSTANDARD LVCMOS33 [get_ports {cat[1]}]
  86. set_property PACKAGE_PIN U8 [get_ports {cat[2]}]
  87. set_property IOSTANDARD LVCMOS33 [get_ports {cat[2]}]
  88. set_property PACKAGE_PIN V8 [get_ports {cat[3]}]
  89. set_property IOSTANDARD LVCMOS33 [get_ports {cat[3]}]
  90. set_property PACKAGE_PIN U5 [get_ports {cat[4]}]
  91. set_property IOSTANDARD LVCMOS33 [get_ports {cat[4]}]
  92. set_property PACKAGE_PIN V5 [get_ports {cat[5]}]
  93. set_property IOSTANDARD LVCMOS33 [get_ports {cat[5]}]
  94. set_property PACKAGE_PIN U7 [get_ports {cat[6]}]
  95. set_property IOSTANDARD LVCMOS33 [get_ports {cat[6]}]
  96.  
  97.  
  98.  
  99. set_property PACKAGE_PIN U2 [get_ports {an[0]}]
  100. set_property IOSTANDARD LVCMOS33 [get_ports {an[0]}]
  101. set_property PACKAGE_PIN U4 [get_ports {an[1]}]
  102. set_property IOSTANDARD LVCMOS33 [get_ports {an[1]}]
  103. set_property PACKAGE_PIN V4 [get_ports {an[2]}]
  104. set_property IOSTANDARD LVCMOS33 [get_ports {an[2]}]
  105. set_property PACKAGE_PIN W4 [get_ports {an[3]}]
  106. set_property IOSTANDARD LVCMOS33 [get_ports {an[3]}]
  107.  
  108.  
  109. #Buttons
  110. set_property PACKAGE_PIN U18 [get_ports {btn[0]}]
  111. set_property IOSTANDARD LVCMOS33 [get_ports {btn[0]}]
  112. set_property PACKAGE_PIN T18 [get_ports {btn[1]}]
  113. set_property IOSTANDARD LVCMOS33 [get_ports {btn[1]}]
  114. set_property PACKAGE_PIN W19 [get_ports {btn[2]}]
  115. set_property IOSTANDARD LVCMOS33 [get_ports {btn[2]}]
  116. set_property PACKAGE_PIN T17 [get_ports btn[3]]
  117. set_property IOSTANDARD LVCMOS33 [get_ports {btn[3]}]
  118. set_property PACKAGE_PIN U17 [get_ports {btn[4]}]
  119. set_property IOSTANDARD LVCMOS33 [get_ports {btn[4]}]
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement