Advertisement
seethesatyrrise

Untitled

Jun 22nd, 2017
63
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. `timescale 1ns/1ps
  2. module counter_test;
  3. integer i;
  4. logic clk, resetn;
  5. logic [3:0] result;
  6. logic [1:0] mode;
  7. initial begin
  8. clk=0;
  9. forever #10 clk = ~clk;
  10. end
  11. initial
  12. begin
  13. resetn=0;
  14. mode = 2'b00;
  15. #10 resetn=1;
  16. for(int i=0; i<45; i=i+1) #20 $strobe("Counter value: %d", result);
  17. mode = 2'b01;
  18. for(int i=0; i<45; i=i+1) #20 $strobe("Counter value: %d", result);
  19. mode = 2'b11;
  20. for(int i=0; i<45; i=i+1) #20 $strobe("Counter value: %d", result);
  21. mode = 2'b10;
  22. for(int i=0; i<45; i=i+1) #20 $strobe("Counter value: %d", result);
  23. #100 resetn=0;
  24. #10 resetn=1;
  25. #100 $stop;
  26. end
  27. bin_cnt uut_inst(clk, resetn, mode, result);
  28. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement