Advertisement
Guest User

Untitled

a guest
Apr 7th, 2019
103
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. module extensor3
  2.     (input  wire  [31:0]inSignal,        
  3.      output reg  [63:0]outSignal
  4.     );
  5.  
  6. always @(inSignal)begin
  7.     outSignal[11:5] <= inSignal[31:25];
  8.     outSignal[4:0] <= inSignal[11:7];
  9.     outSignal[63:12] <= {52{inSignal[31]}};
  10. end
  11. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement