Advertisement
Guest User

Untitled

a guest
Oct 12th, 2018
74
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. module dithgen(
  2.  
  3.     input clk,
  4.     output logic [1:0] out
  5.  
  6.     );
  7.    
  8. logic [15:0] lfsrA;
  9. logic [15:0] lfsrB;
  10.  
  11. wire feedbackA;
  12. wire feedbackB;
  13.  
  14. assign feedbackA = !(lfsrA[2] ^ lfsrA[11] ^ lfsrA[14]);
  15. assign feedbackB = !(lfsrB[3] ^ lfsrB[10] ^ lfsrB[15]);
  16.  
  17. initial begin
  18.  
  19.     lfsrA = 16'hABCD;
  20.     lfsrB = 16'h1234;
  21.  
  22. end    
  23.  
  24.  
  25. always @ (posedge clk) begin
  26.  
  27.     lfsrA <= {lfsrA[14:0], feedbackA};
  28.     lfsrB <= {lfsrB[14:0], feedbackB};
  29.  
  30. end
  31.    
  32. assign out = lfsrA[3:2] + lfsrB[3:2];
  33.    
  34. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement