Advertisement
pnyx

skiddo

Nov 2nd, 2018
123
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 78.92 KB | None | 0 0
  1. --// Obfuscated by https://Aspectnetwork.net/Obfuscator \\--
  2. --// Version: 6 \\--
  3.  
  4. local SkiddoIncorrecto_IlIIIIllIIlIlllIIlI='2d2d7e65606c59f1afe35419f4102e9669713cc7cb4e7dba1e62bd2d5cc7e2bfc8417baeb242aec3de91c79cd5350bd139521c6151d53897efc1cd8bf0342a28507872ae736e99afcbe9967f37f8dae9539f6fd6c1'local SkiddoIncorrecto_IlIlIllIlllIIlll='2f683ed7dcaf1c84b15579ed0e00b7b417e229870f7fd7d0923dfbff886a9b96fb453ba60fe104b5bf69ec21372f073115fbd82a0e9c4e830122cc96696b474d297cccc51af7d35c8a'local SkiddoIncorrecto_lIIlIIIll=631;local SkiddoIncorrecto_IIIIlllIIIllI=25;local SkiddoIncorrecto_IllIIlI=1647987;local SkiddoIncorrecto_llIIIIlIllIIllllII=7637;local SkiddoIncorrecto_IIIllIIllIlI=function()local SkiddoIncorrecto_IIIlllIllIIlllIllI='506962ca10010eb8cd644bf5cf2f9483f6537a097370fd4352c7fdc7252b25a318c07e6af021a1e0e20e102d691e56c4763e87f8a67d39cf4c2fcd89'local SkiddoIncorrecto_IIIIllIllIlIl={isWrFTeVOn}end;local SkiddoIncorrecto_IIIIlIIIlIllIIII='4142ca18b33b2c17ca819e260da8f495888f3e75434f57295f95c6603c82f892a7450ff5d11f703dc171f433ab66b60bdf69a1f44cd15b51206eb0ac5b5b4393'local SkiddoIncorrecto_lllIlIIIllIlIII=function()local SkiddoIncorrecto_IllllIlllIlIIIIllI='726b3b1a8c2a145aa99c9e5c7f8943565ca2d72864e6afd01e755afec3d14523cee8379f5de295d40ac2b49e81b90a7590f7960a4fe26cc5cbb7bbeff863801eeee6a38f8279f95205fb1d15a6b64e9500ec7909d24bdda1f111d566f309fbfbde3f'local SkiddoIncorrecto_lIllIllIlIIllII={ZFBdBnHpFMsdcw}end;local SkiddoIncorrecto_llIIlll=function()local SkiddoIncorrecto_lIIIIIllIIIIIIII='697138ca539f0a97a85ed81dcbf1e5d26482858ee38e7fba71e2174b8c1a908b2096ac3fc3c111e2017345f3b867006b21c6cdb082645fabfbf7d2b2a60491abbd81be52857663168d989e60c4d5a74a69'local SkiddoIncorrecto_llIIIIIlIIlllIIllll='74523c9f049c00f8429717910f3f3c8794d626cb7acf1bffdea26f5a984a67dd2999916e9b63b8c39620910c345908bdb24df73e6e62608b3476579747b2062e988b944e3777e0466973'local SkiddoIncorrecto_llIllIlI='4d72ef04ba97900d5a3a732b30551c02c8440f96a13ab3ea65f45a14ec722e73e9448830f2bbc0443953bb840e9f73e65efc9704743c34ad5e2d'local SkiddoIncorrecto_IIIIlIIIIIIIIIIIlIlllII='4b41cfec9ac5175e562d65a90b3d0f604861512127e60c8aa9a550025403a2508eca17d0b241c2e5d6807a'local SkiddoIncorrecto_lIIllIlllllIIllIIII={DQFaVjp,qEQyduaDPqGUBhBhUc,fFmxkWRSuISwpuMIK,bByOpgJvPxTtJDhItbDzCSeM}end;local SkiddoIncorrecto_IllllIIllI=function()local SkiddoIncorrecto_IlIlIIlllIlIIIlllIl='6956cc04ee2953d41a565a4dac81112b012c8ea2065f494311ef0501115483526b583ab0bd658a1573594c021ed12b0434d7ca9953b7f95ae89ea4bc56654b98'local SkiddoIncorrecto_lIlIlIllIllIIlllI='7943aaa9d801425b66babb95e0c220b408b49f14659316c14e5f1ea36f0a1454b59d6dec07fa63f2424afa30124358c1cc'local SkiddoIncorrecto_IllIlIIl='6b45aa7eb7ae56287f664c536e05331b9992ffaa447839f3bc9ae2c80d3cc86bb13082f66fe706bb7bf9e016e6688a422607df3054a1485afcb3e6778349bf00de4d2740b2fc8df18be54d5c2295fdb93782840079d648'local SkiddoIncorrecto_Illlll='4255821af8e1bdca7d4410ad8f5db66945f8678bb214a101535dcdcc43e97d458425f4dabb90edd7cab5'local SkiddoIncorrecto_llllIlIIIIIllIIIlIIlI='766befd62f22e2897e5ac513258086b6bdc8204d65b9120e2566a6b012da6c72f949'local SkiddoIncorrecto_lIlIIllIIlll={jCoAyScQkZsItLKdZ,autlIyZCCT,TKYyHoo,UzlDdMFaAtKcM,DyETpNfMmcJ}end;local SkiddoIncorrecto_lllllllIlIll='6e75d0aa5c95'local SkiddoIncorrecto_Illl=function()local SkiddoIncorrecto_IlIlllll='7557d10d25268acad9b59b16064a8770c657326c69e9ab9bc4129905e74723ac9ae461f7d21448842d77a255566b'local SkiddoIncorrecto_llllIIlIlIlllIIIIl='477a8823e146c20b5612e463bde4def0e24920a216d569d08f0d0ad3420f4b9623e520fcf908f3dd9731554d71b937bb1b809ae333'local SkiddoIncorrecto_IIllIIlllIIlIIlIlIllllIIl='4a64cd93a5368edbbd3e362fb0900c352564f4535f18892df51b8723b4965651aeac42477610e2c6cb5863cd0abdd236b04276c506fa7f3a3ca76c598dcb977c5b'local SkiddoIncorrecto_llIIIllllllIIlllll='7846ad8823187411417dfbbe165451edf327a71fdd51871363e859e210f9fda1afb648a904472d844190a848839f1c4d3c998623650b99af09f27dfff5'local SkiddoIncorrecto_IIlllII={IaCwYOdaYrCOsAztJ,IKtiSi,QCFdc,vVeVAKUzqAMehoBbB}end;local SkiddoIncorrecto_lIIIlIllllllII='756c1868d3669c'local SkiddoIncorrecto_lIIIll=function()local SkiddoIncorrecto_IlIIlIIlIlIl='5062192f8b4f9cda8c27e6fa15c04601a7bc2e723be407b7e4d39f478765948a7282f2f670e578cd28d260354585d5157b8cdf9a1703650952a640ea96fea31f'local SkiddoIncorrecto_IllIlIlIIllIlIIl='446782e22789c795211245fd40bc209c247b298bced934ed08120d36ecb7689b768de8cf47074e3f069c58'local SkiddoIncorrecto_lIlllIlIlllI='446b5e1a9bf46b6c2479bc88b618fedec460c9aefc526b84d7173a9ee395870592ac9e044ea4b68f4fcaf28982f769e717d4c06bf0'local SkiddoIncorrecto_lllIlIllIl='696d5e510a6a410f99f25c4b109d44b1cd5c64136a89d031d043f755032c0cbe93cf547c97177446c5ec4d0e0d2e15fa1220048d8de0798cda9d94aad79f9434217617f79f0cf5c347d92d06862fc5b15f3a34d6895195652bda460a49d1e49977ad3f'local SkiddoIncorrecto_llIIIIIlllIIlIIllIlll='5549a6731c926f43a2f9907dec763095a0ece61df5c136970fd67cde05f78d9a553569b630d90d5a80ff7608619b8c9de606a5345d70fdef7ca64330f329e7141dc925d44aa141555c93a0f0383e11987cc276a6'local SkiddoIncorrecto_lllIIl='5778a803f29a516ca13f042b311eaf610bdf696cae8a6c0d2a7245c325f46fbbd00fb716d9db7b8ca20eb72cb537217d3a908fbd68a870cfd07fc3879a1adccfd88f'local SkiddoIncorrecto_lIlIIlIIllllIIlIIl='4149a7edf7f55d6798af9f33fadbb0304ae8b3e73dd44f1f7a45b5fb4e84f379ef57b063'local SkiddoIncorrecto_llIIl='634eac9efe9ee0531291cc0e5cc85117c27254e6837794164a6f73bab1ffc2840592e6bbba26ef7e4edd6e4c35b3da902b71a0e5b0b6b70c66fa5e9cee86f8814ba5e23558c9af4077b1fa5fa8849fd06358de1a3084a58efe3f'local SkiddoIncorrecto_IIlIIlllllllIlIIlIII={AuRyVwUt,LIkfUnFzEWv,mPRvNzKn,eEzKZwFfMsMmVLlfrYsB,lsYHGsLaYjKxByaQnPzOukQO,ZJZJkyz,MRlQWPxjRpxPImrEWMwamKOik,PXseYPrFoxH}end;local SkiddoIncorrecto_lIlIIllIIlllllllIlI='6c65d1685cc0'local SkiddoIncorrecto_IIlIlllllIll=function()local SkiddoIncorrecto_lIlIlIllIIlIlII='4f6c3b02b14892bacb758d4b1e99a5792e7e3485522e2b925ae4fd5bd4702ab246ab3f596e46a0cafab6fdc780f8719318ac65c41abb786af61cc96f6859140d86e95322775527e64a'local SkiddoIncorrecto_IIIIIlIIlIlIl='6254cb25fe9c2175bca7ff420beac5c6cd2e6de9fe3205d25b139ed5a1b9a986c9d1d97d2580869906bba38d2d0dd3'local SkiddoIncorrecto_lIIlllIllIlIllIIIlII='51763a89d1bd9aa883954962ad0c6d5ec1e3a14d91d92bf3f17469e1601e05b917bdf584e9'local SkiddoIncorrecto_IllllIlllllIlII='514663182bf7788c0d9ced9b338ab6e58150a0e9c3ad7aa498d7f50614cd507e395828e7715e6b47a5e0d647f20ff2a95637724dbec554859abbd623c2caba3575dcee834fe31b213a79'local SkiddoIncorrecto_llIlllIIIII='4269a85d635c1dc4a189e5977a27a96eb19c76a309e274c91ca50ba455bc90769b8b21e5101c01b1b39ec5c578fc0324d2a0062b3fc3a084c7e378250e4a35'local SkiddoIncorrecto_lIIlIlIIIIIIllIlIll='725a3824a72c6c4c9533f3bd6a88ff9883725a3cfafae51c944b4a09854f72a00e809132bb7ffd2b8cd052a35d62841dd5929ac89d84d7e8351d2b3214a4e1611af2ab0b07a53241672d093d236cc06c2360e57bd0524a1bbb292db9d6814e726ff9'local SkiddoIncorrecto_lIlIllIlII={EXvGokGZiyNLmHVcoZeMa,RquqvKzRXqIvFIPuM,cBJmzIQm,zdZTxFwD,XeCWiEklLd,JtTJqPo}end;local SkiddoIncorrecto_IlllllII='45726325bba8e7'local SkiddoIncorrecto_ll=function()local SkiddoIncorrecto_llIlIII='57661352440c45ec122af20e289d465d6ac6e36a9d0698af6cea4af18969301bb3516fa6a8595a843ee79bb41d59556e1c7b08756a6eb5c99912460bb863fa4e53359e9d6d725ff8fcab35a0ab'local SkiddoIncorrecto_IIIIIll='745ad1eda3b46b30247d0addbe088ab09e15dd562ca1c1403ec61b4c690f489b7fba3311acab9ca094b68e8784d6f519703027d89ea1bc9d6640eeb98c66f9d6fccf4661da17a8a608a4b3abeb9534'local SkiddoIncorrecto_IlIllllllI={GqFyCeE,DkZxKqU}end;local SkiddoIncorrecto_IlllllIIlIlllllllIIlI='556e1a0f917258188dbe998d9b3d1b26288569251a4d4bb0af7cc9d0108c9574aa6abcaa'local SkiddoIncorrecto_lllIIIIIIIlllIlllI='4f6e19ab853dcc85d64db42a4ddb718726fdd557c74c50bb8591'local SkiddoIncorrecto_IIIIlllIllI='4c753c9c9e82c74766888b1cac734e7d47fa34777f84'local SkiddoIncorrecto_lIIlIIlIIIlllI='5468f4c1816327c875866bf31bf1fc4e0882cc25c509eca4dad16b7a'local SkiddoIncorrecto_llIlIIlIIlIIllII=function()local SkiddoIncorrecto_llIIIllIlllIIlIIlII='516b82ca2b795386ece6f635a9c24aa0ec2a9bc760a8a8399b9d4b0e4c011bc0ec5d42'local SkiddoIncorrecto_IlIllIlIllIlIlll='57743af8e4c4eef65f68b9891902d1fec8c932b7accfc2da2ad6e052d51184a553e611eef1d07462e35bbe25f51e8c135b61ac77d699151ba108d5824d1d86'local SkiddoIncorrecto_IIlIllllIll='634b840f06247dd35217ffd7d67c24e67989a34fbe9b7f11d91e69c560a46cfadf82fee2e26b54273107ccaefb'local SkiddoIncorrecto_llIIIII='4559ccd7bbdf11ca03317a214a2f221469a1b0363f27231eb1e1801a5015b626adf708ffd51879080af53569b1e75f1b3109f043f1c5f0ed0ebb6a8aaeb40e0e16a6627f6099a60bfc872fc4a71db09bb7fa2c8255'local SkiddoIncorrecto_IlIIlllIlIIlII='5375cf891a87705060d3b32c5547baa6c72e3a9b7d9dd1a025c35f8b2391a8a5'local SkiddoIncorrecto_IIlll='4973a8b48513d02ea3b206f8544b0106d254432629ea08080ad2a24bc99602c8c4f92f9b8b1d55adde07a3a5d02bd6a9d001715f8250166585a5262127978f3824014518bef9a4ac718c7d014cc42926ed0688a78530bdbc1e00d923438f'local SkiddoIncorrecto_lIIIlIlllII={OvXwXbkSxTsDHsGhY,qQNFtZbnmZRXSuzlLAfEycB,vMuGqEoZJp,LaobQgCNcuVpWHrXvXeVZuQ,WkGqfHDs,CwnIyBskZFPzONk}end;local SkiddoIncorrecto_llIIlIl;local SkiddoIncorrecto_IIIIIlIllIlI;local SkiddoIncorrecto_lIlI;local SkiddoIncorrecto_IIlIlllIIlll;local SkiddoIncorrecto_lIllll;local SkiddoIncorrecto_lIlIIlIll;local SkiddoIncorrecto_lIIIIlIlIIIIIIIIl;local SkiddoIncorrecto_lllIIllIllIIllllIl;local SkiddoIncorrecto_llIl;local SkiddoIncorrecto_IlII;local SkiddoIncorrecto_IIlIIlIlIllIIIIlII=setmetatable;local SkiddoIncorrecto_IIIIlllIlIIIIllllIIllIlIIIll=coroutine;local SkiddoIncorrecto_lllIlllIIllIIIlll=SkiddoIncorrecto_IIIIlllIlIIIIllllIIllIlIIIll.create;local SkiddoIncorrecto_IIIllllIIl=SkiddoIncorrecto_IIIIlllIlIIIIllllIIllIlIIIll.resume;local SkiddoIncorrecto_lllllllIIlIlI=string;local SkiddoIncorrecto_IllIIII=SkiddoIncorrecto_lllllllIIlIlI.char;local SkiddoIncorrecto_lIIlIl=SkiddoIncorrecto_lllllllIIlIlI.sub;local SkiddoIncorrecto_llIllIlIllIllIllIlIIIIlI=SkiddoIncorrecto_lllllllIIlIlI.len;local SkiddoIncorrecto_IIlIllIllIIIlllllIIlIII=getfenv;local SkiddoIncorrecto_IllIlIlIlllllI=tostring;local SkiddoIncorrecto_lllI=tonumber;local SkiddoIncorrecto_IIIIIIlllI;local SkiddoIncorrecto_lllIllllIIlIlI;local SkiddoIncorrecto_llIllllIIIl;local SkiddoIncorrecto_lIlIIIIIl;local SkiddoIncorrecto_lIIllIlIIIllIlIIlIll;local SkiddoIncorrecto_lIlIIlIlllIIIIlIlIIII=select;local SkiddoIncorrecto_llIllIIlI;local SkiddoIncorrecto_IlIIlIlIIIlIIII;local SkiddoIncorrecto_IllllIlllIllIl;local SkiddoIncorrecto_llllIlllllIlIII;local SkiddoIncorrecto_Ill;local SkiddoIncorrecto_llll;local SkiddoIncorrecto_IlIIl;local SkiddoIncorrecto_lIIlIlIIllIllllIlIIl=function()local SkiddoIncorrecto_IllIIll='504aa70f8077b1f56c41b62f5943d82b9d491c87b2e5f7ed999b93721a0869e0720b7e0732bab3837018d259ab46f8a3d8f026e9c948d5040d825d22580ac3db2ce0d458867a7217a961c3058fc2d2f04d1401'local SkiddoIncorrecto_llIIlIIlIllllIIllllIl='494ecc52a19b3a8114d16b0ab63a4f3abc0183a6f121a8645fade5839bf7e55ab9a9773104b1b7330cd2fbe354e582dcd67d244396aa9d05880678ec551efc7f25'local SkiddoIncorrecto_IIllI='7372cf7e36535ec344dce87f6243f4c1cffa1b468266b1819261c4b2733324f61719c6d1cd4dc279efa844e167117ad0467d7285f7abada8183970916360aa1a503b0ffb87ccc5f86113cedd64134c61aaa32ebb99a72a553e697ee6'local SkiddoIncorrecto_IlIllIlIllIll='4d67a8022e7b8201166d33a091e0f000f23f67279e7f19b380f6c36fbd07fa074c'local SkiddoIncorrecto_IlIlIIllIllIll='4778cb5dcb348d77c9bd34028bc14ba4986d66cedeb31c1f6af090a7949f9ba438'local SkiddoIncorrecto_IIlIlIlIIlIl='457aadd67efe26667735d22d0c235b9f4a4b154c43363a1b247c4479f9539c61632ed00d969feada653b991c464baa6072356a8b550767bdb0'local SkiddoIncorrecto_IllIIIIllIlllIII='706987183b22ce4900b20b331df57bbc104fdb9fc26631c3e801b33882527412e139bc52558e1c'local SkiddoIncorrecto_IIIlIlIIlII={NDdYPfupW,TuKWzPGbLuTzBqFwvRwt,KoMlDkDTcfEf,oKUMjaWLuffFRe,uMZvXLrKkNVaswUj,dLizHoVgVGgeYtTRaVpqMMjR,RwZLuAxNTiCbTsz}end;SkiddoIncorrecto_IlII=function(SkiddoIncorrecto_IlIlIIlIllIIIlllIIIlI)local SkiddoIncorrecto_llllIllIlllI,SkiddoIncorrecto_IIIlII=SkiddoIncorrecto_IllIIlI,16384 +SkiddoIncorrecto_llIIIIlIllIIllllII;return(SkiddoIncorrecto_IlIlIIlIllIIIlllIIIlI:gsub('%x%x',function(SkiddoIncorrecto_lllIIIlIlIl)local SkiddoIncorrecto_IIIIIlIllIlllIll=SkiddoIncorrecto_llllIllIlllI%274877906944;local SkiddoIncorrecto_IllIIIlIlIll=(SkiddoIncorrecto_llllIllIlllI-SkiddoIncorrecto_IIIIIlIllIlllIll)/274877906944;local SkiddoIncorrecto_llIllIIll=SkiddoIncorrecto_IllIIIlIlIll%128;SkiddoIncorrecto_lllIIIlIlIl=SkiddoIncorrecto_lllI(SkiddoIncorrecto_lllIIIlIlIl,16)local SkiddoIncorrecto_IlIlllIIlllllIIIII=(SkiddoIncorrecto_lllIIIlIlIl+ (SkiddoIncorrecto_IllIIIlIlIll-SkiddoIncorrecto_llIllIIll)/128)* (2 *SkiddoIncorrecto_llIllIIll+1)%256;SkiddoIncorrecto_llllIllIlllI=SkiddoIncorrecto_IIIIIlIllIlllIll*SkiddoIncorrecto_IIIlII+SkiddoIncorrecto_IllIIIlIlIll+SkiddoIncorrecto_lllIIIlIlIl+SkiddoIncorrecto_IlIlllIIlllllIIIII;return string.char(SkiddoIncorrecto_IlIlllIIlllllIIIII)end))end;local SkiddoIncorrecto_IIIl={}local SkiddoIncorrecto_lllIIlIllllllI={}local SkiddoIncorrecto_llllIIIlIllIIlIlllll={}local SkiddoIncorrecto_IIlIlllllllll={}for i=65,90 do SkiddoIncorrecto_IIIl[SkiddoIncorrecto_IlII(SkiddoIncorrecto_lllllllIlIll)..i]=i end;for i=97,122 do SkiddoIncorrecto_lllIIlIllllllI[SkiddoIncorrecto_IlII(SkiddoIncorrecto_lllllllIlIll)..i]=i end;local SkiddoIncorrecto_IlIll=function()local SkiddoIncorrecto_IllIIlIllIlIIIIllI='444bd0d7d693ec71a5a432c22590077a8391fdcdfd5f5a503fc99ece10f45750a568d6e7fd2353b087432ba16cc3093d688310bc53ea79fd4c51dbbd7c5600df62f9ad4b930df20f3b7bed0134c30ab31107b9e718e7790143583af325fca055'local SkiddoIncorrecto_lIIlllIIIllIIIlIlI={oaVUjhDCM}end;local SkiddoIncorrecto_IIllIlIIIIlIIl=function(SkiddoIncorrecto_llllIIllllll,SkiddoIncorrecto_IIIlIIIIIllIIIIIlIllI)SkiddoIncorrecto_llllIIIlIllIIlIlllll[SkiddoIncorrecto_IlII(SkiddoIncorrecto_lIIIlIllllllII)..SkiddoIncorrecto_IllIIII(SkiddoIncorrecto_IIIlIIIIIllIIIIIlIllI)]=SkiddoIncorrecto_IllIIII(SkiddoIncorrecto_IIIlIIIIIllIIIIIlIllI)end;local SkiddoIncorrecto_IIIlI=function(SkiddoIncorrecto_IIllIlIll,SkiddoIncorrecto_IllIllIIllIIIlIIIl)SkiddoIncorrecto_IIlIlllllllll[SkiddoIncorrecto_IlII(SkiddoIncorrecto_lIlIIllIIlllllllIlI)..SkiddoIncorrecto_IllIIII(SkiddoIncorrecto_IllIllIIllIIIlIIIl)]=SkiddoIncorrecto_IllIIII(SkiddoIncorrecto_IllIllIIllIIIlIIIl)end;table.foreach(SkiddoIncorrecto_IIIl,SkiddoIncorrecto_IIllIlIIIIlIIl)table.foreach(SkiddoIncorrecto_lllIIlIllllllI,SkiddoIncorrecto_IIIlI)local SkiddoIncorrecto_llllIIIlllIIIIl=function()local SkiddoIncorrecto_Illll='4356f02ff3f5a3c4d8f514ce2063746df3aec82a066bb70ba72a7bd18c9c8f9c423616c72742b1910507dba48323abba8f4aaa6b18403295d06a0a8cd3f5b529d16ff4d787'local SkiddoIncorrecto_lIllIlIlIlIlllIl={NYhcZlPxqFDoXFaYzQx}end;local SkiddoIncorrecto_IIlIll=SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterA..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterB..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterC;local SkiddoIncorrecto_llllIllI=SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterA..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterB..SkiddoIncorrecto_IIlIlllllllll.letterx;local SkiddoIncorrecto_IlIlllIlIll=SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterA..SkiddoIncorrecto_IIlIlllllllll.letters..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterB..SkiddoIncorrecto_IIlIlllllllll.letterx;local SkiddoIncorrecto_llIIllllIIIIllIIIIlll=SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterM..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterO..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterV..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterE;local SkiddoIncorrecto_IIllIIlI=SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterL..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterO..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterA..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterD..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterK;local SkiddoIncorrecto_llIlllIlIIlII=SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterL..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterO..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterA..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterD..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterB..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterO..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterO..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterL;local SkiddoIncorrecto_IllIIIIIllIIlIIlIl=SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterL..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterO..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterA..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterD..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterN..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterI..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterL;local SkiddoIncorrecto_llIllIIIlIlIIIlIlllIIl=SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterG..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterE..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterT..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterU..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterP..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterV..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterA..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterL;local SkiddoIncorrecto_llIlIlIIIIIIIIlllI=SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterG..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterE..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterT..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterG..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterL..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterO..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterB..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterA..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterL;local SkiddoIncorrecto_IIlllIIllllIl=SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterG..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterE..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterT..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterT..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterA..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterB..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterL..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterE;local SkiddoIncorrecto_llllIlIIIIIlIIllIlIl=SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterS..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterE..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterT..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterG..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterL..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterO..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterB..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterA..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterL;local SkiddoIncorrecto_IIlllllIIlIIIIIIIlIl=SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterS..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterE..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterT..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterU..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterP..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterV..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterA..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterL;local SkiddoIncorrecto_lIllIlIIIlIllIIlIlIIII=SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterS..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterE..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterT..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterT..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterA..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterB..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterL..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterE;local SkiddoIncorrecto_IIlIIlllllI=SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterN..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterE..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterW..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterT..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterA..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterB..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterL..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterE;local SkiddoIncorrecto_IIIIllll=SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterS..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterE..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterL..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterF;local SkiddoIncorrecto_lIllIIllllIlIlllIlIlll=SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterA..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterD..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterD;local SkiddoIncorrecto_lIIIIIIIllIIIIlllllI=SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterS..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterU..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterB;local SkiddoIncorrecto_lllIIIIIllIIllIIll=SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterM..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterU..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterL;local SkiddoIncorrecto_lllllIllllllIll=SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterD..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterI..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterV;local SkiddoIncorrecto_llIIlIlllIlllIIIlI=SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterM..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterO..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterD;local SkiddoIncorrecto_Il=SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterP..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterO..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterW;local SkiddoIncorrecto_lIIllIIlIlIIIllIlIII=SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterU..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterN..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterM;local SkiddoIncorrecto_llIIIIIIIlIIIlII=SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterN..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterO..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterT;local SkiddoIncorrecto_IIIllIlIIllIll=SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterL..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterE..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterN;local SkiddoIncorrecto_IlIlIIII=SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterC..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterO..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterN..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterC..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterA..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterT;local SkiddoIncorrecto_IllIlllI=SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterJ..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterM..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterP;local SkiddoIncorrecto_IIIIIIIlIlllIll=SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterE..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterQ;local SkiddoIncorrecto_IlllIIIllIlllI=SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterL..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterT;local SkiddoIncorrecto_IlIlIlIllIIlIII=SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterL..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterE;local SkiddoIncorrecto_lIlllllIIIIIlIlIIl=SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterT..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterE..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterS..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterT;local SkiddoIncorrecto_IllIlI=SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterT..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterE..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterS..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterT..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterS..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterE..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterT;local SkiddoIncorrecto_IlIIllIllIllIIIIIlIllll=SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterC..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterA..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterL..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterL;local SkiddoIncorrecto_IlllIllIIlIlIllIllI=SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterT..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterA..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterI..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterL..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterC..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterA..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterL..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterL;local SkiddoIncorrecto_llIlllIllllIIl=SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterR..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterE..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterT..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterU..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterR..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterN;local SkiddoIncorrecto_IllllIl=SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterF..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterO..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterR..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterL..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterO..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterO..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterP;local SkiddoIncorrecto_lIIIlll=SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterF..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterO..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterR..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterP..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterR..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterE..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterP;local SkiddoIncorrecto_IIIIIIIIlIIl=SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterT..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterF..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterO..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterR..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterL..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterO..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterO..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterP;local SkiddoIncorrecto_IIlIlIlIllIlllIl=SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterS..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterE..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterT..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterL..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterI..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterS..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterT;local SkiddoIncorrecto_lIlIIIIlIllllIIl=SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterC..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterL..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterO..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterS..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterE;local SkiddoIncorrecto_lIIIIlIllllI=SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterC..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterL..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterO..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterS..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterU..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterR..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterE;local SkiddoIncorrecto_llllIl=SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterV..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterA..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterR..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterA..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterR..SkiddoIncorrecto_llllIIIlIllIIlIlllll.uletterG;local SkiddoIncorrecto_lllIIllllIIlllIll={SkiddoIncorrecto_IIlIll,SkiddoIncorrecto_llllIllI,SkiddoIncorrecto_IIlIll,SkiddoIncorrecto_IIlIll,SkiddoIncorrecto_IIlIll,SkiddoIncorrecto_llllIllI,SkiddoIncorrecto_IIlIll,SkiddoIncorrecto_llllIllI,SkiddoIncorrecto_IIlIll,SkiddoIncorrecto_IIlIll,SkiddoIncorrecto_IIlIll,SkiddoIncorrecto_IIlIll,SkiddoIncorrecto_IIlIll,SkiddoIncorrecto_IIlIll,SkiddoIncorrecto_IIlIll,SkiddoIncorrecto_IIlIll,SkiddoIncorrecto_IIlIll,SkiddoIncorrecto_IIlIll,SkiddoIncorrecto_IIlIll,SkiddoIncorrecto_IIlIll,SkiddoIncorrecto_IIlIll,SkiddoIncorrecto_IIlIll,SkiddoIncorrecto_IlIlllIlIll,SkiddoIncorrecto_IIlIll,SkiddoIncorrecto_IIlIll,SkiddoIncorrecto_IIlIll,SkiddoIncorrecto_IIlIll,SkiddoIncorrecto_IIlIll,SkiddoIncorrecto_IIlIll,SkiddoIncorrecto_IIlIll,SkiddoIncorrecto_IIlIll,SkiddoIncorrecto_IlIlllIlIll,SkiddoIncorrecto_IlIlllIlIll,SkiddoIncorrecto_IIlIll,SkiddoIncorrecto_IIlIll,SkiddoIncorrecto_IIlIll,SkiddoIncorrecto_llllIllI,SkiddoIncorrecto_IIlIll}local SkiddoIncorrecto_lIllIllIIl={SkiddoIncorrecto_llIIllllIIIIllIIIIlll,SkiddoIncorrecto_IIllIIlI,SkiddoIncorrecto_llIlllIlIIlII,SkiddoIncorrecto_IllIIIIIllIIlIIlIl,SkiddoIncorrecto_llIllIIIlIlIIIlIlllIIl,SkiddoIncorrecto_llIlIlIIIIIIIIlllI,SkiddoIncorrecto_IIlllIIllllIl,SkiddoIncorrecto_llllIlIIIIIlIIllIlIl,SkiddoIncorrecto_IIlllllIIlIIIIIIIlIl,SkiddoIncorrecto_lIllIlIIIlIllIIlIlIIII,SkiddoIncorrecto_IIlIIlllllI,SkiddoIncorrecto_IIIIllll,SkiddoIncorrecto_lIllIIllllIlIlllIlIlll,SkiddoIncorrecto_lIIIIIIIllIIIIlllllI,SkiddoIncorrecto_lllIIIIIllIIllIIll,SkiddoIncorrecto_lllllIllllllIll,SkiddoIncorrecto_llIIlIlllIlllIIIlI,SkiddoIncorrecto_Il,SkiddoIncorrecto_lIIllIIlIlIIIllIlIII,SkiddoIncorrecto_llIIIIIIIlIIIlII,SkiddoIncorrecto_IIIllIlIIllIll,SkiddoIncorrecto_IlIlIIII,SkiddoIncorrecto_IllIlllI,SkiddoIncorrecto_IIIIIIIlIlllIll,SkiddoIncorrecto_IlllIIIllIlllI,SkiddoIncorrecto_IlIlIlIllIIlIII,SkiddoIncorrecto_lIlllllIIIIIlIlIIl,SkiddoIncorrecto_IllIlI,SkiddoIncorrecto_IlIIllIllIllIIIIIlIllll,SkiddoIncorrecto_IlllIllIIlIlIllIllI,SkiddoIncorrecto_llIlllIllllIIl,SkiddoIncorrecto_IllllIl,SkiddoIncorrecto_lIIIlll,SkiddoIncorrecto_IIIIIIIIlIIl,SkiddoIncorrecto_IIlIlIlIllIlllIl,SkiddoIncorrecto_lIlIIIIlIllllIIl,SkiddoIncorrecto_lIIIIlIllllI,SkiddoIncorrecto_llllIl}local SkiddoIncorrecto_IlIlIlI=function()local SkiddoIncorrecto_IlIlllllIlIIIl='764f8452cd06127deebb883a92ad0dea3feffce8209b9a1240a287c8c3c1f9c2c214d3e248482aa95a88033ecb5165ffcc118615eecbe58f95905dc425dff68bcf'local SkiddoIncorrecto_IlIIIIIlIlIIlIlll='59775d25e02c4d0b3ef54bffd5ee7c73297f6c24034983d330973fa1251208090815732c5316f85118efb1d15459801c96293426262e0c2ec9ed9db4fdf9b9788254bf0e712baae4249a57a9436470706af1d6ff739b3842bd7fe0'local SkiddoIncorrecto_llIllIllIllIlIIIlIlll='73561a0fc47b0308d20d67e400af92beee58cdcc3562f1c38c11657381b640203f76533f30adc9e4b2d2943bc94d31c621ba7dab'local SkiddoIncorrecto_IlIlIIlIll='6c4d3c93a36dc256519b1651ec0f716f277a3246443fa5ebde9ec5c92774a4d0dbd6a3a49cea'local SkiddoIncorrecto_lIlIIllllIlIlIlllIIlIIIIlI='664a878984036540016351c03946b55c29d7aba547db7c84de7dab3241b4c8a9daa732c3d14f33f5e2101691f66e93d8b05ad060bd1be84780cd878ae392e581b856a4'local SkiddoIncorrecto_IIIIll='7473ef7eb2d53db4c8fbbe04e0c4797ed28139bbb9325fb1137c8e4b3ff4c710a0ef8dbabd433ec3cec0f14491f4ef502a9eb75b269aba2b4945c4a9ee119fdad48880d2'local SkiddoIncorrecto_lIlIlIIllIlllllll='4b785c7e9f1c35e8f84ab4a108454a9ba04a13907659ace0b975813856baae1f611119648268a643b9a5d510d7980fc89f67402c999fb59543'local SkiddoIncorrecto_IllIllIIIIlIlll='456acb52d32aff1c8feb7ae28629f000f179b8063c433d44de80ab8f059201cdb16218a71c0a649aac26310cb1fb76fb4b5b49fa987a94b379c35ada087d5d10f419ce2eda72241d7fd01de9417fef'local SkiddoIncorrecto_IllllIIIlIlllIllll={jRpGO,oWUqUv,PEkoiRmGgFqTyXsMXprDARnAk,vHoWDk,kmZbqQyyytWYKIZuXLkMR,DfcKhWyDulARtCA,WPFDwWvLuhOij,FxnGFUdBbFyValGhR}end;SkiddoIncorrecto_IlIIlIlIIIlIIII=function(SkiddoIncorrecto_llIlllllllllI)local SkiddoIncorrecto_IlIlIIlIIlIIIllllI=tonumber(SkiddoIncorrecto_llIlllllllllI)local SkiddoIncorrecto_IIIllIIlIlI=''for i=7,0,-1 do local SkiddoIncorrecto_IIlIllIlIlllIIIIl=math.pow(2,i)if SkiddoIncorrecto_IlIlIIlIIlIIIllllI>=SkiddoIncorrecto_IIlIllIlIlllIIIIl then SkiddoIncorrecto_IIIllIIlIlI=SkiddoIncorrecto_IIIllIIlIlI..'1'SkiddoIncorrecto_IlIlIIlIIlIIIllllI=SkiddoIncorrecto_IlIlIIlIIlIIIllllI-SkiddoIncorrecto_IIlIllIlIlllIIIIl else SkiddoIncorrecto_IIIllIIlIlI=SkiddoIncorrecto_IIIllIIlIlI..'0'end end;return SkiddoIncorrecto_IIIllIIlIlI end;SkiddoIncorrecto_IllllIlllIllIl=function(SkiddoIncorrecto_llIIlI)return tonumber(SkiddoIncorrecto_llIIlI,2)end;SkiddoIncorrecto_llllIlllllIlIII=function(SkiddoIncorrecto_IIlIllIlllIIIIlllIIIIlI)local SkiddoIncorrecto_IIIIllllIlllIl=SkiddoIncorrecto_IIlIllIlllIIIIlllIIIIlI:gsub("%s","")local SkiddoIncorrecto_lIlIIII=SkiddoIncorrecto_IIIIllllIlllIl:gsub("=","")local SkiddoIncorrecto_IIIIlI=''local SkiddoIncorrecto_llIlIIIlIIIIl=''for i=1,SkiddoIncorrecto_llIllIlIllIllIllIlIIIIlI(SkiddoIncorrecto_lIlIIII)do local SkiddoIncorrecto_IIIlllIlIIlIIIll=SkiddoIncorrecto_lIIlIl(SkiddoIncorrecto_IIlIllIlllIIIIlllIIIIlI,i,i)local SkiddoIncorrecto_IIlIIllIllIIIIIlIllll,SkiddoIncorrecto_llIllIIllIIlI=string.find(SkiddoIncorrecto_IlII(SkiddoIncorrecto_IIIIlIIIlIllIIII),SkiddoIncorrecto_IIIlllIlIIlIIIll)if SkiddoIncorrecto_IIlIIllIllIIIIIlIllll==nil then error("Invalid character '"..SkiddoIncorrecto_IIIlllIlIIlIIIll.."' found.")end;SkiddoIncorrecto_IIIIlI=SkiddoIncorrecto_IIIIlI..SkiddoIncorrecto_lIIlIl(SkiddoIncorrecto_IlIIlIlIIIlIIII(SkiddoIncorrecto_IIlIIllIllIIIIIlIllll-1),3)end;for i=1,SkiddoIncorrecto_llIllIlIllIllIllIlIIIIlI(SkiddoIncorrecto_IIIIlI),8 do local SkiddoIncorrecto_IlIlIIlIllllI=SkiddoIncorrecto_lIIlIl(SkiddoIncorrecto_IIIIlI,i,i+7)SkiddoIncorrecto_llIlIIIlIIIIl=SkiddoIncorrecto_llIlIIIlIIIIl..SkiddoIncorrecto_IllIIII(SkiddoIncorrecto_IllllIlllIllIl(SkiddoIncorrecto_IlIlIIlIllllI))end;local SkiddoIncorrecto_llIlIIllllllIIlIlIl=SkiddoIncorrecto_IIIIllllIlllIl:len()-SkiddoIncorrecto_lIlIIII:len()if(SkiddoIncorrecto_llIlIIllllllIIlIlIl==1 or SkiddoIncorrecto_llIlIIllllllIIlIlIl==2)then SkiddoIncorrecto_llIlIIIlIIIIl=SkiddoIncorrecto_llIlIIIlIIIIl:sub(1,-2)end;return SkiddoIncorrecto_llIlIIIlIIIIl end;SkiddoIncorrecto_llIllIIlI=function(SkiddoIncorrecto_lIllIIl)print(SkiddoIncorrecto_lIllIIl)end;SkiddoIncorrecto_llIllllIIIl=function(SkiddoIncorrecto_lllIlIIIllll)local SkiddoIncorrecto_IIlIllIIllllIl={}local SkiddoIncorrecto_IIIIIlIIlII=setmetatable({},SkiddoIncorrecto_IIlIllIIllllIl)function SkiddoIncorrecto_IIlIllIIllllIl:__index(SkiddoIncorrecto_IIIllIlll)local SkiddoIncorrecto_lllllllIlIIIllll=SkiddoIncorrecto_lllIlIIIllll(SkiddoIncorrecto_IIIllIlll)SkiddoIncorrecto_IIIIIlIIlII[SkiddoIncorrecto_IIIllIlll]=SkiddoIncorrecto_lllllllIlIIIllll;return SkiddoIncorrecto_lllllllIlIIIllll end;return SkiddoIncorrecto_IIIIIlIIlII end;SkiddoIncorrecto_lIlIIIIIl=function(SkiddoIncorrecto_IIIIlIIlllllIIIl,SkiddoIncorrecto_lIllIl)local function SkiddoIncorrecto_lllIIlllIlIllIIl(SkiddoIncorrecto_IlIIIIlIlIIlll,SkiddoIncorrecto_llIllIl)local SkiddoIncorrecto_llIIIlIIIlIIIIIlIIlI,SkiddoIncorrecto_IlIIII=0,1;while SkiddoIncorrecto_IlIIIIlIlIIlll~=0 and SkiddoIncorrecto_llIllIl~=0 do local SkiddoIncorrecto_lIlllllIIIIlI,SkiddoIncorrecto_llIIIIIlllIIlIlIIIIl=SkiddoIncorrecto_IlIIIIlIlIIlll%SkiddoIncorrecto_lIllIl,SkiddoIncorrecto_llIllIl%SkiddoIncorrecto_lIllIl;SkiddoIncorrecto_llIIIlIIIlIIIIIlIIlI=SkiddoIncorrecto_llIIIlIIIlIIIIIlIIlI+SkiddoIncorrecto_IIIIlIIlllllIIIl[SkiddoIncorrecto_lIlllllIIIIlI][SkiddoIncorrecto_llIIIIIlllIIlIlIIIIl]*SkiddoIncorrecto_IlIIII;SkiddoIncorrecto_IlIIIIlIlIIlll=(SkiddoIncorrecto_IlIIIIlIlIIlll-SkiddoIncorrecto_lIlllllIIIIlI)/SkiddoIncorrecto_lIllIl;SkiddoIncorrecto_llIllIl=(SkiddoIncorrecto_llIllIl-SkiddoIncorrecto_llIIIIIlllIIlIlIIIIl)/SkiddoIncorrecto_lIllIl;SkiddoIncorrecto_IlIIII=SkiddoIncorrecto_IlIIII*SkiddoIncorrecto_lIllIl end;SkiddoIncorrecto_llIIIlIIIlIIIIIlIIlI=SkiddoIncorrecto_llIIIlIIIlIIIIIlIIlI+ (SkiddoIncorrecto_IlIIIIlIlIIlll+SkiddoIncorrecto_llIllIl)*SkiddoIncorrecto_IlIIII;return SkiddoIncorrecto_llIIIlIIIlIIIIIlIIlI end;return SkiddoIncorrecto_lllIIlllIlIllIIl end;SkiddoIncorrecto_lIIllIlIIIllIlIIlIll=function(SkiddoIncorrecto_lIllIlII)local SkiddoIncorrecto_IlIIIllI=SkiddoIncorrecto_lIlIIIIIl(SkiddoIncorrecto_lIllIlII,2 ^1)local SkiddoIncorrecto_IIlIlIl=SkiddoIncorrecto_llIllllIIIl(function(SkiddoIncorrecto_llIIllIIlIlI)return SkiddoIncorrecto_llIllllIIIl(function(SkiddoIncorrecto_IIIIllllllIlIIlllIIl)return SkiddoIncorrecto_IlIIIllI(SkiddoIncorrecto_llIIllIIlIlI,SkiddoIncorrecto_IIIIllllllIlIIlllIIl)end)end)return SkiddoIncorrecto_lIlIIIIIl(SkiddoIncorrecto_IIlIlIl,2 ^ (SkiddoIncorrecto_lIllIlII.n or 1))end;SkiddoIncorrecto_lllIllllIIlIlI=SkiddoIncorrecto_lIIllIlIIIllIlIIlIll{[0]={[0]=0,[1]=1},[1]={[0]=1,[1]=0},n=4}SkiddoIncorrecto_IIIIIIlllI=function(SkiddoIncorrecto_llIllIllIIIlIl,SkiddoIncorrecto_IIIlIIllIIIIIlllIIIl,SkiddoIncorrecto_IllIlIl,...)local SkiddoIncorrecto_IIIIIlIIIllIIllll;if SkiddoIncorrecto_IIIlIIllIIIIIlllIIIl then SkiddoIncorrecto_llIllIllIIIlIl=SkiddoIncorrecto_llIllIllIIIlIl%2 ^32;SkiddoIncorrecto_IIIlIIllIIIIIlllIIIl=SkiddoIncorrecto_IIIlIIllIIIIIlllIIIl%2 ^32;SkiddoIncorrecto_IIIIIlIIIllIIllll=SkiddoIncorrecto_lllIllllIIlIlI(SkiddoIncorrecto_llIllIllIIIlIl,SkiddoIncorrecto_IIIlIIllIIIIIlllIIIl)if SkiddoIncorrecto_IllIlIl then SkiddoIncorrecto_IIIIIlIIIllIIllll=SkiddoIncorrecto_IIIIIIlllI(SkiddoIncorrecto_IIIIIlIIIllIIllll,SkiddoIncorrecto_IllIlIl,...)end;return SkiddoIncorrecto_IIIIIlIIIllIIllll elseif SkiddoIncorrecto_llIllIllIIIlIl then return SkiddoIncorrecto_llIllIllIIIlIl%MOD else return 0 end end;SkiddoIncorrecto_Ill=function(SkiddoIncorrecto_lIlIIlIllIlIlIIIlIlI,SkiddoIncorrecto_llIIIlIl,SkiddoIncorrecto_IlIllI)if SkiddoIncorrecto_IlIllI then local SkiddoIncorrecto_llllllllll=0;local SkiddoIncorrecto_lIllIIlIIII=0;for i=SkiddoIncorrecto_llIIIlIl,SkiddoIncorrecto_IlIllI do SkiddoIncorrecto_llllllllll=SkiddoIncorrecto_llllllllll+2 ^SkiddoIncorrecto_lIllIIlIIII*SkiddoIncorrecto_Ill(SkiddoIncorrecto_lIlIIlIllIlIlIIIlIlI,i)SkiddoIncorrecto_lIllIIlIIII=SkiddoIncorrecto_lIllIIlIIII+1 end;return SkiddoIncorrecto_llllllllll else local SkiddoIncorrecto_IIllIlIIIIlIIlIlIIIII=2 ^ (SkiddoIncorrecto_llIIIlIl-1)return(SkiddoIncorrecto_lIlIIlIllIlIlIIIlIlI% (SkiddoIncorrecto_IIllIlIIIIlIIlIlIIIII+SkiddoIncorrecto_IIllIlIIIIlIIlIlIIIII)>=SkiddoIncorrecto_IIllIlIIIIlIIlIlIIIII)and 1 or 0 end end;SkiddoIncorrecto_llll=function(SkiddoIncorrecto_IIIIIIlIllllII)local SkiddoIncorrecto_IIlIlIIllIIlIIIl=1;local SkiddoIncorrecto_llIIIllIIIIlIlI=''local SkiddoIncorrecto_lIIIIIllIllIllI;local SkiddoIncorrecto_IllIIIIIIlIlII=''if(SkiddoIncorrecto_IIIIIIlIllllII==nil)then error("Nil inputs.")else local SkiddoIncorrecto_IllIlIllllIlIIlI=1;while SkiddoIncorrecto_IllIlIllllIlIIlI<#SkiddoIncorrecto_IIIIIIlIllllII+1 do local SkiddoIncorrecto_lIIlIIlIllI=SkiddoIncorrecto_lIIlIl(SkiddoIncorrecto_IIIIIIlIllllII,SkiddoIncorrecto_IllIlIllllIlIIlI,SkiddoIncorrecto_IllIlIllllIlIIlI+3)local SkiddoIncorrecto_IlllIlIlIIIlIlllIIIlIIllI=SkiddoIncorrecto_llllIlllllIlIII(SkiddoIncorrecto_lIIlIIlIllI)local SkiddoIncorrecto_IlIllIllIllllIIl=SkiddoIncorrecto_lIIIIlIlIIIIIIIIl(SkiddoIncorrecto_IlllIlIlIIIlIlllIIIlIIllI,SkiddoIncorrecto_IIIIlllIIIllI)local SkiddoIncorrecto_lIIlllIIIIlIll=SkiddoIncorrecto_lllIIllIllIIllllIl(SkiddoIncorrecto_IlIllIllIllllIIl,SkiddoIncorrecto_lIIlIIIll)SkiddoIncorrecto_IllIIIIIIlIlII=SkiddoIncorrecto_IllIIIIIIlIlII..SkiddoIncorrecto_IllIIII(SkiddoIncorrecto_lIIlllIIIIlIll)SkiddoIncorrecto_IllIlIllllIlIIlI=SkiddoIncorrecto_IllIlIllllIlIIlI+4 end end;local SkiddoIncorrecto_IlIIlllIIlIlIlIII=1;local SkiddoIncorrecto_llllIIIIllIlI=false;local SkiddoIncorrecto_IlIIIIlIllllllIl;local SkiddoIncorrecto_llllIllIIIlIlIl;local SkiddoIncorrecto_IIlIllIlIIlIIIllIIlI,SkiddoIncorrecto_IlIIIlllII;local SkiddoIncorrecto_IIIIIlIIIIlIIlIII,SkiddoIncorrecto_IIlIlIlIlIlIIIlIIl,SkiddoIncorrecto_lIIIlIIlllIIllIl,SkiddoIncorrecto_IIlllIllIlIlI,SkiddoIncorrecto_lllllIIIIIIll;do function SkiddoIncorrecto_IIIIIlIIIIlIIlIII()local SkiddoIncorrecto_lIlIIlIlllIIlllIIIIlI=SkiddoIncorrecto_IllIIIIIIlIlII:byte(SkiddoIncorrecto_IlIIlllIIlIlIlIII,SkiddoIncorrecto_IlIIlllIIlIlIlIII)SkiddoIncorrecto_IlIIlllIIlIlIlIII=SkiddoIncorrecto_IlIIlllIIlIlIlIII+1;return SkiddoIncorrecto_lIlIIlIlllIIlllIIIIlI end;function SkiddoIncorrecto_IIlIlIlIlIlIIIlIIl()local SkiddoIncorrecto_IIllIllllIlII,SkiddoIncorrecto_IlIIlIIlIlIIlIIl,SkiddoIncorrecto_IIIIlllIlIIllI,SkiddoIncorrecto_IllIIlIIl=SkiddoIncorrecto_IllIIIIIIlIlII:byte(SkiddoIncorrecto_IlIIlllIIlIlIlIII,SkiddoIncorrecto_IlIIlllIIlIlIlIII+3)SkiddoIncorrecto_IlIIlllIIlIlIlIII=SkiddoIncorrecto_IlIIlllIIlIlIlIII+4;return SkiddoIncorrecto_IllIIlIIl*16777216 +SkiddoIncorrecto_IIIIlllIlIIllI*65536 +SkiddoIncorrecto_IlIIlIIlIlIIlIIl*256 +SkiddoIncorrecto_IIllIllllIlII end;function SkiddoIncorrecto_lIIIlIIlllIIllIl()local SkiddoIncorrecto_lIIlIIIl=SkiddoIncorrecto_IIlIlIlIlIlIIIlIIl()local SkiddoIncorrecto_lIIIIIlllII=SkiddoIncorrecto_IIlIlIlIlIlIIIlIIl()return SkiddoIncorrecto_lIIIIIlllII*4294967296 +SkiddoIncorrecto_lIIlIIIl end;function SkiddoIncorrecto_IIlllIllIlIlI()local SkiddoIncorrecto_IlIllIIlllIIl=SkiddoIncorrecto_IIlIlIlIlIlIIIlIIl()local SkiddoIncorrecto_lIIlll=SkiddoIncorrecto_IIlIlIlIlIlIIIlIIl()return(-2 *SkiddoIncorrecto_Ill(SkiddoIncorrecto_lIIlll,32)+1)* (2 ^ (SkiddoIncorrecto_Ill(SkiddoIncorrecto_lIIlll,21,31)-1023))* ( (SkiddoIncorrecto_Ill(SkiddoIncorrecto_lIIlll,1,20)* (2 ^32)+SkiddoIncorrecto_IlIllIIlllIIl)/ (2 ^52)+1)end;function SkiddoIncorrecto_lllllIIIIIIll(SkiddoIncorrecto_IIlIlIIl)local SkiddoIncorrecto_IIIlIIlllIlI;if SkiddoIncorrecto_IIlIlIIl then SkiddoIncorrecto_IIIlIIlllIlI=SkiddoIncorrecto_IllIIIIIIlIlII:sub(SkiddoIncorrecto_IlIIlllIIlIlIlIII,SkiddoIncorrecto_IlIIlllIIlIlIlIII+SkiddoIncorrecto_IIlIlIIl-1)SkiddoIncorrecto_IlIIlllIIlIlIlIII=SkiddoIncorrecto_IlIIlllIIlIlIlIII+SkiddoIncorrecto_IIlIlIIl else SkiddoIncorrecto_IIlIlIIl=SkiddoIncorrecto_IlIIIlllII()if SkiddoIncorrecto_IIlIlIIl==0 then return end;SkiddoIncorrecto_IIIlIIlllIlI=SkiddoIncorrecto_IllIIIIIIlIlII:sub(SkiddoIncorrecto_IlIIlllIIlIlIlIII,SkiddoIncorrecto_IlIIlllIIlIlIlIII+SkiddoIncorrecto_IIlIlIIl-1)SkiddoIncorrecto_IlIIlllIIlIlIlIII=SkiddoIncorrecto_IlIIlllIIlIlIlIII+SkiddoIncorrecto_IIlIlIIl end;return SkiddoIncorrecto_IIIlIIlllIlI end end;local function SkiddoIncorrecto_llIlllIllIIIlIIIIllII()local SkiddoIncorrecto_IIllIllIlIIl;local SkiddoIncorrecto_lIlllIIlIIllIlIlI={}local SkiddoIncorrecto_lIIIl={}local SkiddoIncorrecto_lllllIlllI={}local SkiddoIncorrecto_IIIlllIlll={lines={}}SkiddoIncorrecto_IIllIllIlIIl={instructions=SkiddoIncorrecto_lIlllIIlIIllIlIlI,constants=SkiddoIncorrecto_lIIIl,prototypes=SkiddoIncorrecto_lllllIlllI,debug=SkiddoIncorrecto_IIIlllIlll}local SkiddoIncorrecto_lIlIllllIlIIlIIIl;SkiddoIncorrecto_IIllIllIlIIl.name=SkiddoIncorrecto_lllllIIIIIIll()SkiddoIncorrecto_IIllIllIlIIl.first_line=SkiddoIncorrecto_IIlIllIlIIlIIIllIIlI()SkiddoIncorrecto_IIllIllIlIIl.last_line=SkiddoIncorrecto_IIlIllIlIIlIIIllIIlI()if SkiddoIncorrecto_IIllIllIlIIl.name then SkiddoIncorrecto_IIllIllIlIIl.name=SkiddoIncorrecto_IIllIllIlIIl.name:sub(1,-2)end;SkiddoIncorrecto_IIllIllIlIIl.upvalues=SkiddoIncorrecto_IIIIIlIIIIlIIlIII()SkiddoIncorrecto_IIllIllIlIIl.arguments=SkiddoIncorrecto_IIIIIlIIIIlIIlIII()SkiddoIncorrecto_IIllIllIlIIl.varg=SkiddoIncorrecto_IIIIIlIIIIlIIlIII()SkiddoIncorrecto_IIllIllIlIIl.stack=SkiddoIncorrecto_IIIIIlIIIIlIIlIII()do SkiddoIncorrecto_lIlIllllIlIIlIIIl=SkiddoIncorrecto_IIlIllIlIIlIIIllIIlI()for i=1,SkiddoIncorrecto_lIlIllllIlIIlIIIl do local SkiddoIncorrecto_IIlIIIlIllIlIlI={}local SkiddoIncorrecto_IlIlIIIllllIIlIIlIII=SkiddoIncorrecto_IIlIlIlIlIlIIIlIIl()local SkiddoIncorrecto_lllllIllIIIlIIllIIIII=SkiddoIncorrecto_Ill(SkiddoIncorrecto_IlIlIIIllllIIlIIlIII,1,6)local SkiddoIncorrecto_llllIlIIllllIIIlll=SkiddoIncorrecto_lllIIllllIIlllIll[SkiddoIncorrecto_lllllIllIIIlIIllIIIII+1]SkiddoIncorrecto_IIlIIIlIllIlIlI.opcode=SkiddoIncorrecto_lllllIllIIIlIIllIIIII;SkiddoIncorrecto_IIlIIIlIllIlIlI.type=SkiddoIncorrecto_llllIlIIllllIIIlll;SkiddoIncorrecto_IIlIIIlIllIlIlI.A=SkiddoIncorrecto_Ill(SkiddoIncorrecto_IlIlIIIllllIIlIIlIII,7,14)if SkiddoIncorrecto_llllIlIIllllIIIlll=="ABC"then SkiddoIncorrecto_IIlIIIlIllIlIlI.B=SkiddoIncorrecto_Ill(SkiddoIncorrecto_IlIlIIIllllIIlIIlIII,24,32)SkiddoIncorrecto_IIlIIIlIllIlIlI.C=SkiddoIncorrecto_Ill(SkiddoIncorrecto_IlIlIIIllllIIlIIlIII,15,23)elseif SkiddoIncorrecto_llllIlIIllllIIIlll=="ABx"then SkiddoIncorrecto_IIlIIIlIllIlIlI.Bx=SkiddoIncorrecto_Ill(SkiddoIncorrecto_IlIlIIIllllIIlIIlIII,15,32)elseif SkiddoIncorrecto_llllIlIIllllIIIlll=="AsBx"then SkiddoIncorrecto_IIlIIIlIllIlIlI.sBx=SkiddoIncorrecto_Ill(SkiddoIncorrecto_IlIlIIIllllIIlIIlIII,15,32)-131071 end;SkiddoIncorrecto_lIlllIIlIIllIlIlI[i]=SkiddoIncorrecto_IIlIIIlIllIlIlI end end;do SkiddoIncorrecto_lIlIllllIlIIlIIIl=SkiddoIncorrecto_IIlIllIlIIlIIIllIIlI()for i=1,SkiddoIncorrecto_lIlIllllIlIIlIIIl do local SkiddoIncorrecto_IIlll={}local SkiddoIncorrecto_IIlIIIlIIllIllIllIII=SkiddoIncorrecto_IIIIIlIIIIlIIlIII()SkiddoIncorrecto_IIlll.type=SkiddoIncorrecto_IIlIIIlIIllIllIllIII;if SkiddoIncorrecto_IIlIIIlIIllIllIllIII==1 then SkiddoIncorrecto_IIlll.data=(SkiddoIncorrecto_IIIIIlIIIIlIIlIII()~=0)elseif SkiddoIncorrecto_IIlIIIlIIllIllIllIII==3 then SkiddoIncorrecto_IIlll.data=SkiddoIncorrecto_IIlllIllIlIlI()elseif SkiddoIncorrecto_IIlIIIlIIllIllIllIII==4 then SkiddoIncorrecto_IIlll.data=SkiddoIncorrecto_lllllIIIIIIll():sub(1,-2)end;SkiddoIncorrecto_lIIIl[i-1]=SkiddoIncorrecto_IIlll end end;do SkiddoIncorrecto_lIlIllllIlIIlIIIl=SkiddoIncorrecto_IIlIllIlIIlIIIllIIlI()for i=1,SkiddoIncorrecto_lIlIllllIlIIlIIIl do SkiddoIncorrecto_lllllIlllI[i-1]=SkiddoIncorrecto_llIlllIllIIIlIIIIllII()end end;do local SkiddoIncorrecto_IlIIlIlIIllllI=SkiddoIncorrecto_IIIlllIlll.lines;SkiddoIncorrecto_lIlIllllIlIIlIIIl=SkiddoIncorrecto_IIlIllIlIIlIIIllIIlI()for i=1,SkiddoIncorrecto_lIlIllllIlIIlIIIl do SkiddoIncorrecto_IlIIlIlIIllllI[i]=SkiddoIncorrecto_IIlIlIlIlIlIIIlIIl()end;SkiddoIncorrecto_lIlIllllIlIIlIIIl=SkiddoIncorrecto_IIlIllIlIIlIIIllIIlI()for i=1,SkiddoIncorrecto_lIlIllllIlIIlIIIl do SkiddoIncorrecto_lllllIIIIIIll():sub(1,-2)SkiddoIncorrecto_IIlIlIlIlIlIIIlIIl()SkiddoIncorrecto_IIlIlIlIlIlIIIlIIl()end;SkiddoIncorrecto_lIlIllllIlIIlIIIl=SkiddoIncorrecto_IIlIllIlIIlIIIllIIlI()for i=1,SkiddoIncorrecto_lIlIllllIlIIlIIIl do SkiddoIncorrecto_lllllIIIIIIll()end end;return SkiddoIncorrecto_IIllIllIlIIl end;do assert(SkiddoIncorrecto_lllllIIIIIIll(4)=="\27Lua",SkiddoIncorrecto_IlII(SkiddoIncorrecto_IIIIlllIllI))assert(SkiddoIncorrecto_IIIIIlIIIIlIIlIII()==0x51,SkiddoIncorrecto_IlII(SkiddoIncorrecto_lllIIIIIIIlllIlllI))SkiddoIncorrecto_IIIIIlIIIIlIIlIII()SkiddoIncorrecto_llllIIIIllIlI=(SkiddoIncorrecto_IIIIIlIIIIlIIlIII()==0)SkiddoIncorrecto_IlIIIIlIllllllIl=SkiddoIncorrecto_IIIIIlIIIIlIIlIII()SkiddoIncorrecto_llllIllIIIlIlIl=SkiddoIncorrecto_IIIIIlIIIIlIIlIII()if SkiddoIncorrecto_IlIIIIlIllllllIl==4 then SkiddoIncorrecto_IIlIllIlIIlIIIllIIlI=SkiddoIncorrecto_IIlIlIlIlIlIIIlIIl elseif SkiddoIncorrecto_IlIIIIlIllllllIl==8 then SkiddoIncorrecto_IIlIllIlIIlIIIllIIlI=SkiddoIncorrecto_lIIIlIIlllIIllIl else error(SkiddoIncorrecto_IlllllIIlIlllllllIIlI)end;if SkiddoIncorrecto_llllIllIIIlIlIl==4 then SkiddoIncorrecto_IlIIIlllII=SkiddoIncorrecto_IIlIlIlIlIlIIIlIIl elseif SkiddoIncorrecto_llllIllIIIlIlIl==8 then SkiddoIncorrecto_IlIIIlllII=SkiddoIncorrecto_lIIIlIIlllIIllIl else error(SkiddoIncorrecto_IlII(SkiddoIncorrecto_IlllllIIlIlllllllIIlI))end;assert(SkiddoIncorrecto_lllllIIIIIIll(3)=="\4\8\0",SkiddoIncorrecto_IlllllIIlIlllllllIIlI)end;return SkiddoIncorrecto_llIlllIllIIIlIIIIllII()end;local function SkiddoIncorrecto_IIlIIlIlIll(...)local SkiddoIncorrecto_IIIlllIllIIlIIlII=select("#",...)local SkiddoIncorrecto_IIIlIIlIIllIl={...}return SkiddoIncorrecto_IIIlllIllIIlIIlII,SkiddoIncorrecto_IIIlIIlIIllIl end;SkiddoIncorrecto_IlIIl=function(SkiddoIncorrecto_lIIIlllIl,SkiddoIncorrecto_IlIlIIIllIIllIIllll)local SkiddoIncorrecto_IIIIIllIllIlIllII=SkiddoIncorrecto_lIIIlllIl.instructions;local SkiddoIncorrecto_llIlIIlIlllIIlIlII=SkiddoIncorrecto_lIIIlllIl.constants;local SkiddoIncorrecto_IIlIlIllllIlllIII=SkiddoIncorrecto_lIIIlllIl.prototypes;local SkiddoIncorrecto_IIIlIIIlllIlIIlIIlIII,SkiddoIncorrecto_IIlIlllIllIlllllI;local SkiddoIncorrecto_IlIIlIl;local SkiddoIncorrecto_IIllIlllIIlllllII=1;local SkiddoIncorrecto_IlIIIlIlIlIlIIll,SkiddoIncorrecto_llllIlIlIlllIIllllIll;local SkiddoIncorrecto_lIlIIlII={[0]=function(SkiddoIncorrecto_llIlIIlIIlllIllIIlIl)SkiddoIncorrecto_IIIlIIIlllIlIIlIIlIII[SkiddoIncorrecto_llIlIIlIIlllIllIIlIl.A]=SkiddoIncorrecto_IIIlIIIlllIlIIlIIlIII[SkiddoIncorrecto_llIlIIlIIlllIllIIlIl.B]end,[1]=function(SkiddoIncorrecto_lllIIllIIIIIlllllIII)SkiddoIncorrecto_IIIlIIIlllIlIIlIIlIII[SkiddoIncorrecto_lllIIllIIIIIlllllIII.A]=SkiddoIncorrecto_llIlIIlIlllIIlIlII[SkiddoIncorrecto_lllIIllIIIIIlllllIII.Bx].data end,[2]=function(SkiddoIncorrecto_IlllIIlIlIIlIIIllIII)SkiddoIncorrecto_IIIlIIIlllIlIIlIIlIII[SkiddoIncorrecto_IlllIIlIlIIlIIIllIII.A]=SkiddoIncorrecto_IlllIIlIlIIlIIIllIII.B~=0;if SkiddoIncorrecto_IlllIIlIlIIlIIIllIII.C~=0 then SkiddoIncorrecto_IIllIlllIIlllllII=SkiddoIncorrecto_IIllIlllIIlllllII+1 end end,[3]=function(SkiddoIncorrecto_IllIlIlllllII)local SkiddoIncorrecto_llIlIlllIIl=SkiddoIncorrecto_IIIlIIIlllIlIIlIIlIII;for i=SkiddoIncorrecto_IllIlIlllllII.A,SkiddoIncorrecto_IllIlIlllllII.B do SkiddoIncorrecto_llIlIlllIIl[i]=nil end end,[4]=function(SkiddoIncorrecto_lIIIIllIIIllIlIIlllI)SkiddoIncorrecto_IIIlIIIlllIlIIlIIlIII[SkiddoIncorrecto_lIIIIllIIIllIlIIlllI.A]=SkiddoIncorrecto_IlIlIIIllIIllIIllll[SkiddoIncorrecto_lIIIIllIIIllIlIIlllI.B]end,[5]=function(SkiddoIncorrecto_IIlIlIlllllIIIIlIII)local SkiddoIncorrecto_IllIllIlIllIIlIllIlll=SkiddoIncorrecto_llIlIIlIlllIIlIlII[SkiddoIncorrecto_IIlIlIlllllIIIIlIII.Bx].data;SkiddoIncorrecto_IIIlIIIlllIlIIlIIlIII[SkiddoIncorrecto_IIlIlIlllllIIIIlIII.A]=SkiddoIncorrecto_IlIIlIl[SkiddoIncorrecto_IllIllIlIllIIlIllIlll]end,[6]=function(SkiddoIncorrecto_IIIllIIIIIll)local SkiddoIncorrecto_llIlIIlll=SkiddoIncorrecto_IIIllIIIIIll.C;local SkiddoIncorrecto_lllIllIlll=SkiddoIncorrecto_IIIlIIIlllIlIIlIIlIII;SkiddoIncorrecto_llIlIIlll=SkiddoIncorrecto_llIlIIlll>255 and SkiddoIncorrecto_llIlIIlIlllIIlIlII[SkiddoIncorrecto_llIlIIlll-256].data or SkiddoIncorrecto_lllIllIlll[SkiddoIncorrecto_llIlIIlll]SkiddoIncorrecto_lllIllIlll[SkiddoIncorrecto_IIIllIIIIIll.A]=SkiddoIncorrecto_lllIllIlll[SkiddoIncorrecto_IIIllIIIIIll.B][SkiddoIncorrecto_llIlIIlll]end,[7]=function(SkiddoIncorrecto_llIIllIlIIIlllIIIlI)local SkiddoIncorrecto_IlIIlI=SkiddoIncorrecto_llIlIIlIlllIIlIlII[SkiddoIncorrecto_llIIllIlIIIlllIIIlI.Bx].data;SkiddoIncorrecto_IlIIlIl[SkiddoIncorrecto_IlIIlI]=SkiddoIncorrecto_IIIlIIIlllIlIIlIIlIII[SkiddoIncorrecto_llIIllIlIIIlllIIIlI.A]end,[8]=function(SkiddoIncorrecto_lllIllII)SkiddoIncorrecto_IlIlIIIllIIllIIllll[SkiddoIncorrecto_lllIllII.B]=SkiddoIncorrecto_IIIlIIIlllIlIIlIIlIII[SkiddoIncorrecto_lllIllII.A]end,[9]=function(SkiddoIncorrecto_IIIIlIIllIllIlIIlIlII)local SkiddoIncorrecto_IIlllIIIlIl=SkiddoIncorrecto_IIIIlIIllIllIlIIlIlII.B;local SkiddoIncorrecto_lIIIl=SkiddoIncorrecto_IIIIlIIllIllIlIIlIlII.C;local SkiddoIncorrecto_llllIlllIlll,SkiddoIncorrecto_lllllII=SkiddoIncorrecto_IIIlIIIlllIlIIlIIlIII,SkiddoIncorrecto_llIlIIlIlllIIlIlII;SkiddoIncorrecto_IIlllIIIlIl=SkiddoIncorrecto_IIlllIIIlIl>255 and SkiddoIncorrecto_lllllII[SkiddoIncorrecto_IIlllIIIlIl-256].data or SkiddoIncorrecto_llllIlllIlll[SkiddoIncorrecto_IIlllIIIlIl]SkiddoIncorrecto_lIIIl=SkiddoIncorrecto_lIIIl>255 and SkiddoIncorrecto_lllllII[SkiddoIncorrecto_lIIIl-256].data or SkiddoIncorrecto_llllIlllIlll[SkiddoIncorrecto_lIIIl]SkiddoIncorrecto_llllIlllIlll[SkiddoIncorrecto_IIIIlIIllIllIlIIlIlII.A][SkiddoIncorrecto_IIlllIIIlIl]=SkiddoIncorrecto_lIIIl end,[10]=function(SkiddoIncorrecto_lIIIIl)SkiddoIncorrecto_IIIlIIIlllIlIIlIIlIII[SkiddoIncorrecto_lIIIIl.A]={}end,[11]=function(SkiddoIncorrecto_IIIIlllIlllIIll)local SkiddoIncorrecto_IIIllIlllIllllIIlIIl=SkiddoIncorrecto_IIIIlllIlllIIll.A;local SkiddoIncorrecto_IIIIlIlI=SkiddoIncorrecto_IIIIlllIlllIIll.B;local SkiddoIncorrecto_lIllIlIIlIIlllIIII=SkiddoIncorrecto_IIIIlllIlllIIll.C;local SkiddoIncorrecto_IIlIIlIlllIlll=SkiddoIncorrecto_IIIlIIIlllIlIIlIIlIII;SkiddoIncorrecto_IIIIlIlI=SkiddoIncorrecto_IIlIIlIlllIlll[SkiddoIncorrecto_IIIIlIlI]SkiddoIncorrecto_lIllIlIIlIIlllIIII=SkiddoIncorrecto_lIllIlIIlIIlllIIII>255 and SkiddoIncorrecto_llIlIIlIlllIIlIlII[SkiddoIncorrecto_lIllIlIIlIIlllIIII-256].data or SkiddoIncorrecto_IIlIIlIlllIlll[SkiddoIncorrecto_lIllIlIIlIIlllIIII]SkiddoIncorrecto_IIlIIlIlllIlll[SkiddoIncorrecto_IIIllIlllIllllIIlIIl+1]=SkiddoIncorrecto_IIIIlIlI;SkiddoIncorrecto_IIlIIlIlllIlll[SkiddoIncorrecto_IIIllIlllIllllIIlIIl]=SkiddoIncorrecto_IIIIlIlI[SkiddoIncorrecto_lIllIlIIlIIlllIIII]end,[12]=function(SkiddoIncorrecto_llllIIlIIlIIl)local SkiddoIncorrecto_lIIIIIIII=SkiddoIncorrecto_llllIIlIIlIIl.B;local SkiddoIncorrecto_IIllIIIIIIIIIllI=SkiddoIncorrecto_llllIIlIIlIIl.C;local SkiddoIncorrecto_IIlIllllIIIlIIlI,SkiddoIncorrecto_lIIIlIlllIlIIlII=SkiddoIncorrecto_IIIlIIIlllIlIIlIIlIII,SkiddoIncorrecto_llIlIIlIlllIIlIlII;SkiddoIncorrecto_lIIIIIIII=SkiddoIncorrecto_lIIIIIIII>255 and SkiddoIncorrecto_lIIIlIlllIlIIlII[SkiddoIncorrecto_lIIIIIIII-256].data or SkiddoIncorrecto_IIlIllllIIIlIIlI[SkiddoIncorrecto_lIIIIIIII]SkiddoIncorrecto_IIllIIIIIIIIIllI=SkiddoIncorrecto_IIllIIIIIIIIIllI>255 and SkiddoIncorrecto_lIIIlIlllIlIIlII[SkiddoIncorrecto_IIllIIIIIIIIIllI-256].data or SkiddoIncorrecto_IIlIllllIIIlIIlI[SkiddoIncorrecto_IIllIIIIIIIIIllI]SkiddoIncorrecto_IIlIllllIIIlIIlI[SkiddoIncorrecto_llllIIlIIlIIl.A]=SkiddoIncorrecto_lIIIIIIII+SkiddoIncorrecto_IIllIIIIIIIIIllI end,[13]=function(SkiddoIncorrecto_IIIlIIIlIIlIIIlIlIlIIlI)local SkiddoIncorrecto_lIIlllIIllIIllllll=SkiddoIncorrecto_IIIlIIIlIIlIIIlIlIlIIlI.B;local SkiddoIncorrecto_IlIIlllllIIlIllIllI=SkiddoIncorrecto_IIIlIIIlIIlIIIlIlIlIIlI.C;local SkiddoIncorrecto_lIIIllIl,SkiddoIncorrecto_IIIlIIIlIIllIIllI=SkiddoIncorrecto_IIIlIIIlllIlIIlIIlIII,SkiddoIncorrecto_llIlIIlIlllIIlIlII;SkiddoIncorrecto_lIIlllIIllIIllllll=SkiddoIncorrecto_lIIlllIIllIIllllll>255 and SkiddoIncorrecto_IIIlIIIlIIllIIllI[SkiddoIncorrecto_lIIlllIIllIIllllll-256].data or SkiddoIncorrecto_lIIIllIl[SkiddoIncorrecto_lIIlllIIllIIllllll]SkiddoIncorrecto_IlIIlllllIIlIllIllI=SkiddoIncorrecto_IlIIlllllIIlIllIllI>255 and SkiddoIncorrecto_IIIlIIIlIIllIIllI[SkiddoIncorrecto_IlIIlllllIIlIllIllI-256].data or SkiddoIncorrecto_lIIIllIl[SkiddoIncorrecto_IlIIlllllIIlIllIllI]SkiddoIncorrecto_lIIIllIl[SkiddoIncorrecto_IIIlIIIlIIlIIIlIlIlIIlI.A]=SkiddoIncorrecto_lIIlllIIllIIllllll-SkiddoIncorrecto_IlIIlllllIIlIllIllI end,[14]=function(SkiddoIncorrecto_IlIlI)local SkiddoIncorrecto_llIllIllIIIlllllllll=SkiddoIncorrecto_IlIlI.B;local SkiddoIncorrecto_llIllIIlIlllI=SkiddoIncorrecto_IlIlI.C;local SkiddoIncorrecto_IlIIlIlllIllIII,SkiddoIncorrecto_IllIlIllllIlllIIllI=SkiddoIncorrecto_IIIlIIIlllIlIIlIIlIII,SkiddoIncorrecto_llIlIIlIlllIIlIlII;SkiddoIncorrecto_llIllIllIIIlllllllll=SkiddoIncorrecto_llIllIllIIIlllllllll>255 and SkiddoIncorrecto_IllIlIllllIlllIIllI[SkiddoIncorrecto_llIllIllIIIlllllllll-256].data or SkiddoIncorrecto_IlIIlIlllIllIII[SkiddoIncorrecto_llIllIllIIIlllllllll]SkiddoIncorrecto_llIllIIlIlllI=SkiddoIncorrecto_llIllIIlIlllI>255 and SkiddoIncorrecto_IllIlIllllIlllIIllI[SkiddoIncorrecto_llIllIIlIlllI-256].data or SkiddoIncorrecto_IlIIlIlllIllIII[SkiddoIncorrecto_llIllIIlIlllI]SkiddoIncorrecto_IlIIlIlllIllIII[SkiddoIncorrecto_IlIlI.A]=SkiddoIncorrecto_llIllIllIIIlllllllll*SkiddoIncorrecto_llIllIIlIlllI end,[15]=function(SkiddoIncorrecto_lIlll)local SkiddoIncorrecto_IIllIlllIIIIlllI=SkiddoIncorrecto_lIlll.B;local SkiddoIncorrecto_IlIlIIlII=SkiddoIncorrecto_lIlll.C;local SkiddoIncorrecto_IllIlIIIIlIlllllIlIl,SkiddoIncorrecto_lIIIIIIlllllllIlll=SkiddoIncorrecto_IIIlIIIlllIlIIlIIlIII,SkiddoIncorrecto_llIlIIlIlllIIlIlII;SkiddoIncorrecto_IIllIlllIIIIlllI=SkiddoIncorrecto_IIllIlllIIIIlllI>255 and SkiddoIncorrecto_lIIIIIIlllllllIlll[SkiddoIncorrecto_IIllIlllIIIIlllI-256].data or SkiddoIncorrecto_IllIlIIIIlIlllllIlIl[SkiddoIncorrecto_IIllIlllIIIIlllI]SkiddoIncorrecto_IlIlIIlII=SkiddoIncorrecto_IlIlIIlII>255 and SkiddoIncorrecto_lIIIIIIlllllllIlll[SkiddoIncorrecto_IlIlIIlII-256].data or SkiddoIncorrecto_IllIlIIIIlIlllllIlIl[SkiddoIncorrecto_IlIlIIlII]SkiddoIncorrecto_IllIlIIIIlIlllllIlIl[SkiddoIncorrecto_lIlll.A]=SkiddoIncorrecto_IIllIlllIIIIlllI/SkiddoIncorrecto_IlIlIIlII end,[16]=function(SkiddoIncorrecto_lllIIlIlIlIII)local SkiddoIncorrecto_IllIIllIIIlIII=SkiddoIncorrecto_lllIIlIlIlIII.B;local SkiddoIncorrecto_IIllIIlIlIIlIlIll=SkiddoIncorrecto_lllIIlIlIlIII.C;local SkiddoIncorrecto_IlllIIIlIllIlIIIIIIll,SkiddoIncorrecto_IlIlIIlllllllll=SkiddoIncorrecto_IIIlIIIlllIlIIlIIlIII,SkiddoIncorrecto_llIlIIlIlllIIlIlII;SkiddoIncorrecto_IllIIllIIIlIII=SkiddoIncorrecto_IllIIllIIIlIII>255 and SkiddoIncorrecto_IlIlIIlllllllll[SkiddoIncorrecto_IllIIllIIIlIII-256].data or SkiddoIncorrecto_IlllIIIlIllIlIIIIIIll[SkiddoIncorrecto_IllIIllIIIlIII]SkiddoIncorrecto_IIllIIlIlIIlIlIll=SkiddoIncorrecto_IIllIIlIlIIlIlIll>255 and SkiddoIncorrecto_IlIlIIlllllllll[SkiddoIncorrecto_IIllIIlIlIIlIlIll-256].data or SkiddoIncorrecto_IlllIIIlIllIlIIIIIIll[SkiddoIncorrecto_IIllIIlIlIIlIlIll]SkiddoIncorrecto_IlllIIIlIllIlIIIIIIll[SkiddoIncorrecto_lllIIlIlIlIII.A]=SkiddoIncorrecto_IllIIllIIIlIII%SkiddoIncorrecto_IIllIIlIlIIlIlIll end,[17]=function(SkiddoIncorrecto_lIIIIlIl)local SkiddoIncorrecto_IllIIllI=SkiddoIncorrecto_lIIIIlIl.B;local SkiddoIncorrecto_lIIllIIllIIIlllI=SkiddoIncorrecto_lIIIIlIl.C;local SkiddoIncorrecto_lIIlIlIllllIIlIIllIlI,SkiddoIncorrecto_IIIIIllIllIIIlll=SkiddoIncorrecto_IIIlIIIlllIlIIlIIlIII,SkiddoIncorrecto_llIlIIlIlllIIlIlII;SkiddoIncorrecto_IllIIllI=SkiddoIncorrecto_IllIIllI>255 and SkiddoIncorrecto_IIIIIllIllIIIlll[SkiddoIncorrecto_IllIIllI-256].data or SkiddoIncorrecto_lIIlIlIllllIIlIIllIlI[SkiddoIncorrecto_IllIIllI]SkiddoIncorrecto_lIIllIIllIIIlllI=SkiddoIncorrecto_lIIllIIllIIIlllI>255 and SkiddoIncorrecto_IIIIIllIllIIIlll[SkiddoIncorrecto_lIIllIIllIIIlllI-256].data or SkiddoIncorrecto_lIIlIlIllllIIlIIllIlI[SkiddoIncorrecto_lIIllIIllIIIlllI]SkiddoIncorrecto_lIIlIlIllllIIlIIllIlI[SkiddoIncorrecto_lIIIIlIl.A]=SkiddoIncorrecto_IllIIllI^SkiddoIncorrecto_lIIllIIllIIIlllI end,[18]=function(SkiddoIncorrecto_IlIllll)SkiddoIncorrecto_IIIlIIIlllIlIIlIIlIII[SkiddoIncorrecto_IlIllll.A]=-SkiddoIncorrecto_IIIlIIIlllIlIIlIIlIII[SkiddoIncorrecto_IlIllll.B]end,[19]=function(SkiddoIncorrecto_IllIllIIlI)SkiddoIncorrecto_IIIlIIIlllIlIIlIIlIII[SkiddoIncorrecto_IllIllIIlI.A]=not SkiddoIncorrecto_IIIlIIIlllIlIIlIIlIII[SkiddoIncorrecto_IllIllIIlI.B]end,[20]=function(SkiddoIncorrecto_IIlllIlIlIlIllIIIl)SkiddoIncorrecto_IIIlIIIlllIlIIlIIlIII[SkiddoIncorrecto_IIlllIlIlIlIllIIIl.A]=#SkiddoIncorrecto_IIIlIIIlllIlIIlIIlIII[SkiddoIncorrecto_IIlllIlIlIlIllIIIl.B]end,[21]=function(SkiddoIncorrecto_IIlIlllllII)local SkiddoIncorrecto_IIIlIIIlIIIllIIIIll=SkiddoIncorrecto_IIlIlllllII.B;local SkiddoIncorrecto_IlIIIIIlIIlll=SkiddoIncorrecto_IIIlIIIlllIlIIlIIlIII[SkiddoIncorrecto_IIIlIIIlIIIllIIIIll]for i=SkiddoIncorrecto_IIIlIIIlIIIllIIIIll+1,SkiddoIncorrecto_IIlIlllllII.C do SkiddoIncorrecto_IlIIIIIlIIlll=SkiddoIncorrecto_IlIIIIIlIIlll..SkiddoIncorrecto_IIIlIIIlllIlIIlIIlIII[i]end;SkiddoIncorrecto_IIIlIIIlllIlIIlIIlIII[SkiddoIncorrecto_IIlIlllllII.A]=SkiddoIncorrecto_IlIIIIIlIIlll end,[22]=function(SkiddoIncorrecto_lllllllIlllIl)SkiddoIncorrecto_IIllIlllIIlllllII=SkiddoIncorrecto_IIllIlllIIlllllII+SkiddoIncorrecto_lllllllIlllIl.sBx end,[23]=function(SkiddoIncorrecto_IIlllIlllllllllllI)local SkiddoIncorrecto_IllIIIIllIIllIIIlIlIIlIl=SkiddoIncorrecto_IIlllIlllllllllllI.A;local SkiddoIncorrecto_IIIlIIIIIlII=SkiddoIncorrecto_IIlllIlllllllllllI.B;local SkiddoIncorrecto_lIIIllIlIllIlIIIIllIlIl=SkiddoIncorrecto_IIlllIlllllllllllI.C;local SkiddoIncorrecto_IIlIIlIlIIlllIlIlI,SkiddoIncorrecto_llIlIIIIllIlllIIIlI=SkiddoIncorrecto_IIIlIIIlllIlIIlIIlIII,SkiddoIncorrecto_llIlIIlIlllIIlIlII;SkiddoIncorrecto_IllIIIIllIIllIIIlIlIIlIl=SkiddoIncorrecto_IllIIIIllIIllIIIlIlIIlIl~=0;SkiddoIncorrecto_IIIlIIIIIlII=SkiddoIncorrecto_IIIlIIIIIlII>255 and SkiddoIncorrecto_llIlIIIIllIlllIIIlI[SkiddoIncorrecto_IIIlIIIIIlII-256].data or SkiddoIncorrecto_IIlIIlIlIIlllIlIlI[SkiddoIncorrecto_IIIlIIIIIlII]SkiddoIncorrecto_lIIIllIlIllIlIIIIllIlIl=SkiddoIncorrecto_lIIIllIlIllIlIIIIllIlIl>255 and SkiddoIncorrecto_llIlIIIIllIlllIIIlI[SkiddoIncorrecto_lIIIllIlIllIlIIIIllIlIl-256].data or SkiddoIncorrecto_IIlIIlIlIIlllIlIlI[SkiddoIncorrecto_lIIIllIlIllIlIIIIllIlIl]if(SkiddoIncorrecto_IIIlIIIIIlII==SkiddoIncorrecto_lIIIllIlIllIlIIIIllIlIl)~=SkiddoIncorrecto_IllIIIIllIIllIIIlIlIIlIl then SkiddoIncorrecto_IIllIlllIIlllllII=SkiddoIncorrecto_IIllIlllIIlllllII+1 end end,[24]=function(SkiddoIncorrecto_IIIIlIllIllIIIlIII)local SkiddoIncorrecto_IIlllIIlllIIlIlIll=SkiddoIncorrecto_IIIIlIllIllIIIlIII.A;local SkiddoIncorrecto_IIIIIIllllllllIIlII=SkiddoIncorrecto_IIIIlIllIllIIIlIII.B;local SkiddoIncorrecto_IIlIlIIIlIlIlIlllI=SkiddoIncorrecto_IIIIlIllIllIIIlIII.C;local SkiddoIncorrecto_IllIIIIllIIl,SkiddoIncorrecto_llIlIlllIlIllIlIIll=SkiddoIncorrecto_IIIlIIIlllIlIIlIIlIII,SkiddoIncorrecto_llIlIIlIlllIIlIlII;SkiddoIncorrecto_IIlllIIlllIIlIlIll=SkiddoIncorrecto_IIlllIIlllIIlIlIll~=0;SkiddoIncorrecto_IIIIIIllllllllIIlII=SkiddoIncorrecto_IIIIIIllllllllIIlII>255 and SkiddoIncorrecto_llIlIlllIlIllIlIIll[SkiddoIncorrecto_IIIIIIllllllllIIlII-256].data or SkiddoIncorrecto_IllIIIIllIIl[SkiddoIncorrecto_IIIIIIllllllllIIlII]SkiddoIncorrecto_IIlIlIIIlIlIlIlllI=SkiddoIncorrecto_IIlIlIIIlIlIlIlllI>255 and SkiddoIncorrecto_llIlIlllIlIllIlIIll[SkiddoIncorrecto_IIlIlIIIlIlIlIlllI-256].data or SkiddoIncorrecto_IllIIIIllIIl[SkiddoIncorrecto_IIlIlIIIlIlIlIlllI]if(SkiddoIncorrecto_IIIIIIllllllllIIlII<SkiddoIncorrecto_IIlIlIIIlIlIlIlllI)~=SkiddoIncorrecto_IIlllIIlllIIlIlIll then SkiddoIncorrecto_IIllIlllIIlllllII=SkiddoIncorrecto_IIllIlllIIlllllII+1 end end,[25]=function(SkiddoIncorrecto_lIIlIIlIIlIIIIl)local SkiddoIncorrecto_lllIIII=SkiddoIncorrecto_lIIlIIlIIlIIIIl.A;local SkiddoIncorrecto_llIIlI=SkiddoIncorrecto_lIIlIIlIIlIIIIl.B;local SkiddoIncorrecto_IIIIIll=SkiddoIncorrecto_lIIlIIlIIlIIIIl.C;local SkiddoIncorrecto_lIlIlIlllIIIlllllIl,SkiddoIncorrecto_IllIIIIllIIllIllllI=SkiddoIncorrecto_IIIlIIIlllIlIIlIIlIII,SkiddoIncorrecto_llIlIIlIlllIIlIlII;SkiddoIncorrecto_lllIIII=SkiddoIncorrecto_lllIIII~=0;SkiddoIncorrecto_llIIlI=SkiddoIncorrecto_llIIlI>255 and SkiddoIncorrecto_IllIIIIllIIllIllllI[SkiddoIncorrecto_llIIlI-256].data or SkiddoIncorrecto_lIlIlIlllIIIlllllIl[SkiddoIncorrecto_llIIlI]SkiddoIncorrecto_IIIIIll=SkiddoIncorrecto_IIIIIll>255 and SkiddoIncorrecto_IllIIIIllIIllIllllI[SkiddoIncorrecto_IIIIIll-256].data or SkiddoIncorrecto_lIlIlIlllIIIlllllIl[SkiddoIncorrecto_IIIIIll]if(SkiddoIncorrecto_llIIlI<=SkiddoIncorrecto_IIIIIll)~=SkiddoIncorrecto_lllIIII then SkiddoIncorrecto_IIllIlllIIlllllII=SkiddoIncorrecto_IIllIlllIIlllllII+1 end end,[26]=function(SkiddoIncorrecto_lllIIIIlllllIlllIII)if(not not SkiddoIncorrecto_IIIlIIIlllIlIIlIIlIII[SkiddoIncorrecto_lllIIIIlllllIlllIII.A])== (SkiddoIncorrecto_lllIIIIlllllIlllIII.C==0)then SkiddoIncorrecto_IIllIlllIIlllllII=SkiddoIncorrecto_IIllIlllIIlllllII+1 end end,[27]=function(SkiddoIncorrecto_IllIllIIllIIIllllI)local SkiddoIncorrecto_IlllIllllIlIllIIIlI=SkiddoIncorrecto_IIIlIIIlllIlIIlIIlIII;local SkiddoIncorrecto_IlIlI=SkiddoIncorrecto_IlllIllllIlIllIIIlI[SkiddoIncorrecto_IllIllIIllIIIllllI.B]if(not not SkiddoIncorrecto_IlIlI)== (SkiddoIncorrecto_IllIllIIllIIIllllI.C==0)then SkiddoIncorrecto_IIllIlllIIlllllII=SkiddoIncorrecto_IIllIlllIIlllllII+1 else SkiddoIncorrecto_IlllIllllIlIllIIIlI[SkiddoIncorrecto_IllIllIIllIIIllllI.A]=SkiddoIncorrecto_IlIlI end end,[28]=function(SkiddoIncorrecto_IlIII)local SkiddoIncorrecto_lIlIlIllIllIIlIlIIlII=SkiddoIncorrecto_IlIII.A;local SkiddoIncorrecto_IIlIIlIllIlIIlIIlllI=SkiddoIncorrecto_IlIII.B;local SkiddoIncorrecto_llllIIl=SkiddoIncorrecto_IlIII.C;local SkiddoIncorrecto_IIIllIlI=SkiddoIncorrecto_IIIlIIIlllIlIIlIIlIII;local SkiddoIncorrecto_lIIIIIIlllI,SkiddoIncorrecto_lllllllIlIlIlIIIlllIl;local SkiddoIncorrecto_IllIIIIIlIIIlIIlIII,SkiddoIncorrecto_lllIlllIIlIlIIIIIIIIll;SkiddoIncorrecto_lIIIIIIlllI={}if SkiddoIncorrecto_IIlIIlIllIlIIlIIlllI~=1 then if SkiddoIncorrecto_IIlIIlIllIlIIlIIlllI~=0 then SkiddoIncorrecto_IllIIIIIlIIIlIIlIII=SkiddoIncorrecto_lIlIlIllIllIIlIlIIlII+SkiddoIncorrecto_IIlIIlIllIlIIlIIlllI-1 else SkiddoIncorrecto_IllIIIIIlIIIlIIlIII=SkiddoIncorrecto_IIlIlllIllIlllllI end;SkiddoIncorrecto_lllIlllIIlIlIIIIIIIIll=0;for i=SkiddoIncorrecto_lIlIlIllIllIIlIlIIlII+1,SkiddoIncorrecto_IllIIIIIlIIIlIIlIII do SkiddoIncorrecto_lllIlllIIlIlIIIIIIIIll=SkiddoIncorrecto_lllIlllIIlIlIIIIIIIIll+1;SkiddoIncorrecto_lIIIIIIlllI[SkiddoIncorrecto_lllIlllIIlIlIIIIIIIIll]=SkiddoIncorrecto_IIIllIlI[i]end;SkiddoIncorrecto_IllIIIIIlIIIlIIlIII,SkiddoIncorrecto_lllllllIlIlIlIIIlllIl=SkiddoIncorrecto_IIlIIlIlIll(SkiddoIncorrecto_IIIllIlI[SkiddoIncorrecto_lIlIlIllIllIIlIlIIlII](unpack(SkiddoIncorrecto_lIIIIIIlllI,1,SkiddoIncorrecto_IllIIIIIlIIIlIIlIII-SkiddoIncorrecto_lIlIlIllIllIIlIlIIlII)))else SkiddoIncorrecto_IllIIIIIlIIIlIIlIII,SkiddoIncorrecto_lllllllIlIlIlIIIlllIl=SkiddoIncorrecto_IIlIIlIlIll(SkiddoIncorrecto_IIIllIlI[SkiddoIncorrecto_lIlIlIllIllIIlIlIIlII]())end;SkiddoIncorrecto_IIlIlllIllIlllllI=SkiddoIncorrecto_lIlIlIllIllIIlIlIIlII-1;if SkiddoIncorrecto_llllIIl~=1 then if SkiddoIncorrecto_llllIIl~=0 then SkiddoIncorrecto_IllIIIIIlIIIlIIlIII=SkiddoIncorrecto_lIlIlIllIllIIlIlIIlII+SkiddoIncorrecto_llllIIl-2 else SkiddoIncorrecto_IllIIIIIlIIIlIIlIII=SkiddoIncorrecto_IllIIIIIlIIIlIIlIII+SkiddoIncorrecto_lIlIlIllIllIIlIlIIlII end;SkiddoIncorrecto_lllIlllIIlIlIIIIIIIIll=0;for i=SkiddoIncorrecto_lIlIlIllIllIIlIlIIlII,SkiddoIncorrecto_IllIIIIIlIIIlIIlIII do SkiddoIncorrecto_lllIlllIIlIlIIIIIIIIll=SkiddoIncorrecto_lllIlllIIlIlIIIIIIIIll+1;SkiddoIncorrecto_IIIllIlI[i]=SkiddoIncorrecto_lllllllIlIlIlIIIlllIl[SkiddoIncorrecto_lllIlllIIlIlIIIIIIIIll]end end end,[29]=function(SkiddoIncorrecto_IlIllIlIlIIlIl)local SkiddoIncorrecto_lIIlIlIIIllI=SkiddoIncorrecto_IlIllIlIlIIlIl.A;local SkiddoIncorrecto_lIIlIllIIlIlIIlIll=SkiddoIncorrecto_IlIllIlIlIIlIl.B;local SkiddoIncorrecto_IIIIIIllIlIIIlIlIII=SkiddoIncorrecto_IlIllIlIlIIlIl.C;local SkiddoIncorrecto_lIIIIIIllIIIIl=SkiddoIncorrecto_IIIlIIIlllIlIIlIIlIII;local SkiddoIncorrecto_lIlIlllIIIlI,SkiddoIncorrecto_IIIlllIIIIlI;local SkiddoIncorrecto_IlllIIIlllIIIIII,SkiddoIncorrecto_IllllllIllIIII,SkiddoIncorrecto_llIllIIlIlIllIIll=SkiddoIncorrecto_IIlIlllIllIlllllI;SkiddoIncorrecto_lIlIlllIIIlI={}if SkiddoIncorrecto_lIIlIllIIlIlIIlIll~=1 then if SkiddoIncorrecto_lIIlIllIIlIlIIlIll~=0 then SkiddoIncorrecto_IllllllIllIIII=SkiddoIncorrecto_lIIlIlIIIllI+SkiddoIncorrecto_lIIlIllIIlIlIIlIll-1 else SkiddoIncorrecto_IllllllIllIIII=SkiddoIncorrecto_IlllIIIlllIIIIII end;SkiddoIncorrecto_llIllIIlIlIllIIll=0;for i=SkiddoIncorrecto_lIIlIlIIIllI+1,SkiddoIncorrecto_IllllllIllIIII do SkiddoIncorrecto_llIllIIlIlIllIIll=SkiddoIncorrecto_llIllIIlIlIllIIll+1;SkiddoIncorrecto_lIlIlllIIIlI[#SkiddoIncorrecto_lIlIlllIIIlI+1]=SkiddoIncorrecto_lIIIIIIllIIIIl[i]end;SkiddoIncorrecto_IIIlllIIIIlI={SkiddoIncorrecto_lIIIIIIllIIIIl[SkiddoIncorrecto_lIIlIlIIIllI](unpack(SkiddoIncorrecto_lIlIlllIIIlI,1,SkiddoIncorrecto_IllllllIllIIII-SkiddoIncorrecto_lIIlIlIIIllI))}else SkiddoIncorrecto_IIIlllIIIIlI={SkiddoIncorrecto_lIIIIIIllIIIIl[SkiddoIncorrecto_lIIlIlIIIllI]()}end;return true,SkiddoIncorrecto_IIIlllIIIIlI end,[30]=function(SkiddoIncorrecto_IIllIlll)local SkiddoIncorrecto_lIIlllIllIIllllIIlI=SkiddoIncorrecto_IIllIlll.A;local SkiddoIncorrecto_IIIlIIIIIIllI=SkiddoIncorrecto_IIllIlll.B;local SkiddoIncorrecto_llllllIlIlllI=SkiddoIncorrecto_IIIlIIIlllIlIIlIIlIII;local SkiddoIncorrecto_llIIIIIIlIIlI;local SkiddoIncorrecto_IIIIIllllIlllIlII,SkiddoIncorrecto_IIIllIIllIlllIIllIl;if SkiddoIncorrecto_IIIlIIIIIIllI==1 then return true end;if SkiddoIncorrecto_IIIlIIIIIIllI==0 then SkiddoIncorrecto_llIIIIIIlIIlI=SkiddoIncorrecto_IIlIlllIllIlllllI else SkiddoIncorrecto_llIIIIIIlIIlI=SkiddoIncorrecto_lIIlllIllIIllllIIlI+SkiddoIncorrecto_IIIlIIIIIIllI-2 end;SkiddoIncorrecto_IIIllIIllIlllIIllIl={}local SkiddoIncorrecto_lIllIllllll=0;for i=SkiddoIncorrecto_lIIlllIllIIllllIIlI,SkiddoIncorrecto_llIIIIIIlIIlI do SkiddoIncorrecto_lIllIllllll=SkiddoIncorrecto_lIllIllllll+1;SkiddoIncorrecto_IIIllIIllIlllIIllIl[SkiddoIncorrecto_lIllIllllll]=SkiddoIncorrecto_llllllIlIlllI[i]end;return true,SkiddoIncorrecto_IIIllIIllIlllIIllIl end,[31]=function(SkiddoIncorrecto_lllllIIIIllIlI)local SkiddoIncorrecto_lIllllllIIIlI=SkiddoIncorrecto_lllllIIIIllIlI.A;local SkiddoIncorrecto_IIllllIlIIIIlIlI=SkiddoIncorrecto_IIIlIIIlllIlIIlIIlIII;local SkiddoIncorrecto_IIIIIlIlIlI=SkiddoIncorrecto_IIllllIlIIIIlIlI[SkiddoIncorrecto_lIllllllIIIlI+2]local SkiddoIncorrecto_lIlIIIlIIlIllIlIIlIlI=SkiddoIncorrecto_IIllllIlIIIIlIlI[SkiddoIncorrecto_lIllllllIIIlI]+SkiddoIncorrecto_IIIIIlIlIlI;SkiddoIncorrecto_IIllllIlIIIIlIlI[SkiddoIncorrecto_lIllllllIIIlI]=SkiddoIncorrecto_lIlIIIlIIlIllIlIIlIlI;if SkiddoIncorrecto_IIIIIlIlIlI>0 then if SkiddoIncorrecto_lIlIIIlIIlIllIlIIlIlI<=SkiddoIncorrecto_IIllllIlIIIIlIlI[SkiddoIncorrecto_lIllllllIIIlI+1]then SkiddoIncorrecto_IIllIlllIIlllllII=SkiddoIncorrecto_IIllIlllIIlllllII+SkiddoIncorrecto_lllllIIIIllIlI.sBx;SkiddoIncorrecto_IIllllIlIIIIlIlI[SkiddoIncorrecto_lIllllllIIIlI+3]=SkiddoIncorrecto_lIlIIIlIIlIllIlIIlIlI end else if SkiddoIncorrecto_lIlIIIlIIlIllIlIIlIlI>=SkiddoIncorrecto_IIllllIlIIIIlIlI[SkiddoIncorrecto_lIllllllIIIlI+1]then SkiddoIncorrecto_IIllIlllIIlllllII=SkiddoIncorrecto_IIllIlllIIlllllII+SkiddoIncorrecto_lllllIIIIllIlI.sBx;SkiddoIncorrecto_IIllllIlIIIIlIlI[SkiddoIncorrecto_lIllllllIIIlI+3]=SkiddoIncorrecto_lIlIIIlIIlIllIlIIlIlI end end end,[32]=function(SkiddoIncorrecto_IIIIIlIIlllIIlIIllIl)local SkiddoIncorrecto_lIIIIlllIlI=SkiddoIncorrecto_IIIIIlIIlllIIlIIllIl.A;local SkiddoIncorrecto_lIIlIlllIlllIllIl=SkiddoIncorrecto_IIIlIIIlllIlIIlIIlIII;SkiddoIncorrecto_lIIlIlllIlllIllIl[SkiddoIncorrecto_lIIIIlllIlI]=SkiddoIncorrecto_lIIlIlllIlllIllIl[SkiddoIncorrecto_lIIIIlllIlI]-SkiddoIncorrecto_lIIlIlllIlllIllIl[SkiddoIncorrecto_lIIIIlllIlI+2]SkiddoIncorrecto_IIllIlllIIlllllII=SkiddoIncorrecto_IIllIlllIIlllllII+SkiddoIncorrecto_IIIIIlIIlllIIlIIllIl.sBx end,[33]=function(SkiddoIncorrecto_IllIIIIllllIlIllIIII)local SkiddoIncorrecto_IIIIl=SkiddoIncorrecto_IllIIIIllllIlIllIIII.A;local SkiddoIncorrecto_lIllIllll=SkiddoIncorrecto_IllIIIIllllIlIllIIII.B;local SkiddoIncorrecto_IIlIIIIlIlIlIllIlIIIlllI=SkiddoIncorrecto_IllIIIIllllIlIllIIII.C;local SkiddoIncorrecto_lIIIIllIllI=SkiddoIncorrecto_IIIlIIIlllIlIIlIIlIII;local SkiddoIncorrecto_lllIll=SkiddoIncorrecto_IIIIl+2;local SkiddoIncorrecto_IlIlllI={SkiddoIncorrecto_lIIIIllIllI[SkiddoIncorrecto_IIIIl](SkiddoIncorrecto_lIIIIllIllI[SkiddoIncorrecto_IIIIl+1],SkiddoIncorrecto_lIIIIllIllI[SkiddoIncorrecto_IIIIl+2])}for i=1,SkiddoIncorrecto_IIlIIIIlIlIlIllIlIIIlllI do SkiddoIncorrecto_lIIIIllIllI[SkiddoIncorrecto_lllIll+i]=SkiddoIncorrecto_IlIlllI[i]end;if SkiddoIncorrecto_lIIIIllIllI[SkiddoIncorrecto_IIIIl+3]~=nil then SkiddoIncorrecto_lIIIIllIllI[SkiddoIncorrecto_IIIIl+2]=SkiddoIncorrecto_lIIIIllIllI[SkiddoIncorrecto_IIIIl+3]else SkiddoIncorrecto_IIllIlllIIlllllII=SkiddoIncorrecto_IIllIlllIIlllllII+1 end end,[34]=function(SkiddoIncorrecto_IlIIIlllIIlIIll)local SkiddoIncorrecto_llllIlllIllllll=SkiddoIncorrecto_IlIIIlllIIlIIll.A;local SkiddoIncorrecto_lIIIlIlIll=SkiddoIncorrecto_IlIIIlllIIlIIll.B;local SkiddoIncorrecto_IlllIIIllllIlIlIIII=SkiddoIncorrecto_IlIIIlllIIlIIll.C;local SkiddoIncorrecto_IIlIIllIllIllIIlIIl=SkiddoIncorrecto_IIIlIIIlllIlIIlIIlIII;if SkiddoIncorrecto_IlllIIIllllIlIlIIII==0 then error("Something went wrong here....")else local SkiddoIncorrecto_IIIllIll=(SkiddoIncorrecto_IlllIIIllllIlIlIIII-1)*50;local SkiddoIncorrecto_IllIllIlllIlIlIl=SkiddoIncorrecto_IIlIIllIllIllIIlIIl[SkiddoIncorrecto_llllIlllIllllll]if SkiddoIncorrecto_lIIIlIlIll==0 then SkiddoIncorrecto_lIIIlIlIll=SkiddoIncorrecto_IIlIlllIllIlllllI end;for i=1,SkiddoIncorrecto_lIIIlIlIll do SkiddoIncorrecto_IllIllIlllIlIlIl[SkiddoIncorrecto_IIIllIll+i]=SkiddoIncorrecto_IIlIIllIllIllIIlIIl[SkiddoIncorrecto_llllIlllIllllll+i]end end end,[35]=function(SkiddoIncorrecto_lllIllIlIlllI)end,[36]=function(SkiddoIncorrecto_IllIIIIIllllIll)local SkiddoIncorrecto_lllllllII=SkiddoIncorrecto_IIlIlIllllIlllIII[SkiddoIncorrecto_IllIIIIIllllIll.Bx]local SkiddoIncorrecto_IIIIlllIlIllIlll=SkiddoIncorrecto_IIIIIllIllIlIllII;local SkiddoIncorrecto_IlIIlllIllllIlllllIllll=SkiddoIncorrecto_IIIlIIIlllIlIIlIIlIII;local SkiddoIncorrecto_IIIIllIllIIIlIlIll={}local SkiddoIncorrecto_lIIIIlIlIIIlIIlIlIIl=SkiddoIncorrecto_IIlIIlIlIllIIIIlII({},{__index=function(SkiddoIncorrecto_IlIIlll,SkiddoIncorrecto_lIlIlIlllIllIlIIlIlll)local SkiddoIncorrecto_lIIllIIl=SkiddoIncorrecto_IIIIllIllIIIlIlIll[SkiddoIncorrecto_lIlIlIlllIllIlIIlIlll]return SkiddoIncorrecto_lIIllIIl.segment[SkiddoIncorrecto_lIIllIIl.offset]end,__newindex=function(SkiddoIncorrecto_IlIIIIllIIllIllIl,SkiddoIncorrecto_llIIIll,SkiddoIncorrecto_llIlIlIIllIl)local SkiddoIncorrecto_IlllllIllIIllIIII=SkiddoIncorrecto_IIIIllIllIIIlIlIll[SkiddoIncorrecto_llIIIll]SkiddoIncorrecto_IlllllIllIIllIIII.segment[SkiddoIncorrecto_IlllllIllIIllIIII.offset]=SkiddoIncorrecto_llIlIlIIllIl end})for i=1,SkiddoIncorrecto_lllllllII.upvalues do local SkiddoIncorrecto_IIIIlIlIIlIIllI=SkiddoIncorrecto_IIIIlllIlIllIlll[SkiddoIncorrecto_IIllIlllIIlllllII]if SkiddoIncorrecto_IIIIlIlIIlIIllI.opcode==0 then SkiddoIncorrecto_IIIIllIllIIIlIlIll[i-1]={segment=SkiddoIncorrecto_IlIIlllIllllIlllllIllll,offset=SkiddoIncorrecto_IIIIlIlIIlIIllI.B}elseif SkiddoIncorrecto_IIIIlllIlIllIlll[SkiddoIncorrecto_IIllIlllIIlllllII].opcode==4 then SkiddoIncorrecto_IIIIllIllIIIlIlIll[i-1]={segment=SkiddoIncorrecto_IlIlIIIllIIllIIllll,offset=SkiddoIncorrecto_IIIIlIlIIlIIllI.B}end;SkiddoIncorrecto_IIllIlllIIlllllII=SkiddoIncorrecto_IIllIlllIIlllllII+1 end;local SkiddoIncorrecto_llIIIIlI,SkiddoIncorrecto_llIIIIlllIlll=SkiddoIncorrecto_IlIIl(SkiddoIncorrecto_lllllllII,SkiddoIncorrecto_lIIIIlIlIIIlIIlIlIIl)SkiddoIncorrecto_IlIIlllIllllIlllllIllll[SkiddoIncorrecto_IllIIIIIllllIll.A]=SkiddoIncorrecto_llIIIIlllIlll end,[37]=function(SkiddoIncorrecto_lIllIIIIIlIlI)local SkiddoIncorrecto_IlllII=SkiddoIncorrecto_lIllIIIIIlIlI.A;local SkiddoIncorrecto_lIIIlIIlIllllIIlIIl=SkiddoIncorrecto_lIllIIIIIlIlI.B;local SkiddoIncorrecto_llII,SkiddoIncorrecto_lIlIlIIIIIllIllI=SkiddoIncorrecto_IIIlIIIlllIlIIlIIlIII,SkiddoIncorrecto_IlIIIlIlIlIlIIll;for i=SkiddoIncorrecto_IlllII,SkiddoIncorrecto_IlllII+ (SkiddoIncorrecto_lIIIlIIlIllllIIlIIl>0 and SkiddoIncorrecto_lIIIlIIlIllllIIlIIl-1 or SkiddoIncorrecto_llllIlIlIlllIIllllIll)do SkiddoIncorrecto_llII[i]=SkiddoIncorrecto_lIlIlIIIIIllIllI[i-SkiddoIncorrecto_IlllII]end end}local function SkiddoIncorrecto_lllllIIIIIIIIllIIIl(SkiddoIncorrecto_lllIIIl)local SkiddoIncorrecto_IIllIIIlIllIll=SkiddoIncorrecto_lIIIlllIl.name;local SkiddoIncorrecto_IlIIIlIlIllIIlIIIlIll=SkiddoIncorrecto_lIIIlllIl.debug.lines[SkiddoIncorrecto_IIllIlllIIlllllII]local SkiddoIncorrecto_lllIIllII=(SkiddoIncorrecto_lllIIIl:match("^.+:(.+)")or SkiddoIncorrecto_lllIIIl)local SkiddoIncorrecto_lIIlIIlIIIll=SkiddoIncorrecto_IlllllII;if SkiddoIncorrecto_IIllIIIlIllIll then SkiddoIncorrecto_lIIlIIlIIIll=SkiddoIncorrecto_IIllIIIlIllIll end;if SkiddoIncorrecto_IlIIIlIlIllIIlIIIlIll then SkiddoIncorrecto_lIIlIIlIIIll=SkiddoIncorrecto_lIIlIIlIIIll.." - Line: "..SkiddoIncorrecto_IlIIIlIlIllIIlIIIlIll end;if SkiddoIncorrecto_lllIIIl and type(SkiddoIncorrecto_lllIIIl)=="string"then SkiddoIncorrecto_lIIlIIlIIIll=SkiddoIncorrecto_lIIlIIlIIIll.." - Error: "..SkiddoIncorrecto_lllIIllII end;if SkiddoIncorrecto_IIlIlllIIlll then SkiddoIncorrecto_IIlIlllIIlll(SkiddoIncorrecto_IllIlIlIlllllI(SkiddoIncorrecto_IlIIIlIlIllIIlIIIlIll)..":"..SkiddoIncorrecto_IllIlIlIlllllI(SkiddoIncorrecto_lllIIllII))else error(SkiddoIncorrecto_IllIlIlIlllllI(SkiddoIncorrecto_IlIIIlIlIllIIlIIIlIll)..":"..SkiddoIncorrecto_IllIlIlIlllllI(SkiddoIncorrecto_lllIIllII),3)end end;local function SkiddoIncorrecto_lIIlI()local SkiddoIncorrecto_lIIlIIIIlIlllllllIIIl=SkiddoIncorrecto_IIIIIllIllIlIllII;local SkiddoIncorrecto_lIlIIl,SkiddoIncorrecto_lllII,SkiddoIncorrecto_IIlllIIllIIIllI,SkiddoIncorrecto_IIIIl;while true do SkiddoIncorrecto_lIlIIl=SkiddoIncorrecto_lIIlIIIIlIlllllllIIIl[SkiddoIncorrecto_IIllIlllIIlllllII]SkiddoIncorrecto_IIllIlllIIlllllII=SkiddoIncorrecto_IIllIlllIIlllllII+1;SkiddoIncorrecto_IIIIl,SkiddoIncorrecto_lllII,SkiddoIncorrecto_IIlllIIllIIIllI=pcall(function()return SkiddoIncorrecto_lIlIIlII[SkiddoIncorrecto_lIlIIl.opcode](SkiddoIncorrecto_lIlIIl)end)if not SkiddoIncorrecto_IIIIl then SkiddoIncorrecto_lllllIIIIIIIIllIIIl(SkiddoIncorrecto_lllII)break elseif SkiddoIncorrecto_lllII then return SkiddoIncorrecto_IIlllIIllIIIllI end end end;local SkiddoIncorrecto_IlIIlllIllI={}local function SkiddoIncorrecto_IIIIll(...)local SkiddoIncorrecto_lIllIIIIlllllIlI={}local SkiddoIncorrecto_IIIIlII={}SkiddoIncorrecto_IIlIlllIllIlllllI=-1;SkiddoIncorrecto_IIIlIIIlllIlIIlIIlIII=SkiddoIncorrecto_IIlIIlIlIllIIIIlII(SkiddoIncorrecto_lIllIIIIlllllIlI,{__index=SkiddoIncorrecto_IIIIlII,__newindex=function(SkiddoIncorrecto_lllllIllIIIl,SkiddoIncorrecto_IllllIll,SkiddoIncorrecto_IIIlIIlIIIllllll)if SkiddoIncorrecto_IllllIll>SkiddoIncorrecto_IIlIlllIllIlllllI and SkiddoIncorrecto_IIIlIIlIIIllllll then SkiddoIncorrecto_IIlIlllIllIlllllI=SkiddoIncorrecto_IllllIll end;SkiddoIncorrecto_IIIIlII[SkiddoIncorrecto_IllllIll]=SkiddoIncorrecto_IIIlIIlIIIllllll end})local SkiddoIncorrecto_IlIIIIllIlI={...}SkiddoIncorrecto_IlIIIlIlIlIlIIll={}SkiddoIncorrecto_llllIlIlIlllIIllllIll=select("#",...)-1;for i=0,SkiddoIncorrecto_llllIlIlIlllIIllllIll do SkiddoIncorrecto_lIllIIIIlllllIlI[i]=SkiddoIncorrecto_IlIIIIllIlI[i+1]SkiddoIncorrecto_IlIIIlIlIlIlIIll[i]=SkiddoIncorrecto_IlIIIIllIlI[i+1]end;SkiddoIncorrecto_IlIIlIl=SkiddoIncorrecto_lIlI or SkiddoIncorrecto_IIlIllIllIIIlllllIIlIII()SkiddoIncorrecto_IIllIlllIIlllllII=1;local SkiddoIncorrecto_IlIlIIIIIIlIIlI=SkiddoIncorrecto_lllIlllIIllIIIlll(SkiddoIncorrecto_lIIlI)local SkiddoIncorrecto_lllIllIIlI,SkiddoIncorrecto_llII=SkiddoIncorrecto_IIIllllIIl(SkiddoIncorrecto_IlIlIIIIIIlIIlI)if SkiddoIncorrecto_lllIllIIlI then if SkiddoIncorrecto_llII then return unpack(SkiddoIncorrecto_llII)end;return else if SkiddoIncorrecto_IIIIIlIllIlI then else SkiddoIncorrecto_lllllIIIIIIIIllIIIl(SkiddoIncorrecto_llII)end end end;return SkiddoIncorrecto_IlIIlllIllI,SkiddoIncorrecto_IIIIll end;SkiddoIncorrecto_lIIIIlIlIIIIIIIIl=function(SkiddoIncorrecto_IIlIIIllIl,SkiddoIncorrecto_lIIllIIllIIlllllllIII)return SkiddoIncorrecto_IIIIIIlllI(SkiddoIncorrecto_IIlIIIllIl,SkiddoIncorrecto_lIIllIIllIIlllllllIII)end;SkiddoIncorrecto_lllIIllIllIIllllIl=function(SkiddoIncorrecto_IllllllIIlIlIlIll,SkiddoIncorrecto_llllI)return SkiddoIncorrecto_IIIIIIlllI(SkiddoIncorrecto_IllllllIIlIlIlIll,SkiddoIncorrecto_llllI)end;SkiddoIncorrecto_lIllll=function(SkiddoIncorrecto_lIIlIlII,SkiddoIncorrecto_IllIlllIIIllIlIIIII,SkiddoIncorrecto_llIlIlIlIIl)SkiddoIncorrecto_lIlI=SkiddoIncorrecto_IllIlllIIIllIlIIIII or SkiddoIncorrecto_IIlIllIllIIIlllllIIlIII(2)SkiddoIncorrecto_IIlIlllIIlll=SkiddoIncorrecto_llIlIlIlIIl;local SkiddoIncorrecto_IlIIIIIlIIIllIIlll=SkiddoIncorrecto_llll(SkiddoIncorrecto_lIIlIlII)local SkiddoIncorrecto_IIlIIlIIlIIIllIIIllIIlIl,SkiddoIncorrecto_IIlIlIIlllIlllIl=SkiddoIncorrecto_IlIIl(SkiddoIncorrecto_IlIIIIIlIIIllIIlll)return SkiddoIncorrecto_IIlIlIIlllIlllIl end;local SkiddoIncorrecto_llllllIIllIIlIlI=function()local SkiddoIncorrecto_IllIIlIllIIlllllIIIl='4c7982aad9e435e9d3af91b110c1395148d0e75bda02ea5408870709a83e5a7809316a37d2f2b5b09ddbfdbddce2'local SkiddoIncorrecto_IIllIllllIIlIIIII='414f624624134b6c38465a3d9a2b6e69962a262f65874774937f80c59aadd0e4ae8f1ab3d6dcf2d03fed9b3b6245020c5e7673c0feeb531b014c8926e83a2b4ae73132bb99670109233c1da72d68d7139509b1d1866dd3e97d74a34773c274e6a5'local SkiddoIncorrecto_lIllIlllllllIlI='4f665c451c93d10b078ae8a5158534304ed14273ea42a48f683dd9e113f00dbfd2b99c1b0f8e'local SkiddoIncorrecto_lIIIIIllll={oBtQCjMxRsaJuM,XesAiuRoUmOEPl,qHGyHfI}end;return SkiddoIncorrecto_lIllll('\NjI5\NTQ2\NTM5\NTI3\NTc1\NjIy\NjIz\NjE4\NjE0\NjE4\NjE0\NjIy\NjIy\NjIy\NjIy\NjIy\NjIy\NjIy\NjIy\NjIy\NjIy\NjIy\NjIy\NjIy\NjIy\NjIy\NjIy\NjIy\NjIy\NjIy\NjIw\NjE5\NjI1\NjIy\NjIy\NjIy\NjE5\NjIy\NjIy\NjIy\NjE2\NTU4\NTU4\NjIy\NjE2\NzUw\NTU4\NjIy\NTU4\NjIy\NjIy\NjIy\NjI4\NjIy\NjIy\NjIy\NjMy\Njg2\NjE5\NzUw\NzQ3\Njg2\NjIy\NjIy\NzU0\NTU4\NzUw\NjIy\NzQ3\NjIy\NjIz\NjIy\NzU2\NTU4\NjIy\NjIy\NjMy\Njg2\NjIw\NzUw\NzQ3\NTU4\NjIz\NjIy\NzU2\NTU4\NjIy\NjIy\NjMy\NjIy\NjIw\NzUw\NzQ3\NzUw\NjIz\NjIy\NzU2\NTU4\NjIy\NjIy\NjMy\NTU4\NjIz\NzUw\NzQ3\Njg2\NjIz\NjIy\NzU2\NTU4\NjIy\NjIy\NjMy\NzUw\NjIy\NzUw\NzQ3\NjIy\NjIw\NjIy\NzU2\NjIy\NjIy\NjIy\NjMy\Njg2\NjIy\NzUw\NzQx\NTU4\Njg0\NjIy\NjIz\NzUx\NjIw\NjIy\NzU0\NTU4\NzUw\NjIz\NjMy\NzUw\NjIy\NzUw\NzQx\NTU4\Njg0\NjIy\NjIz\Njg3\NjIw\NjIy\NzU0\NTU4\NzUw\NjIz\NjI0\NjIy\NzUw\NjIy\NjEw\NjIy\NjIy\NjIy\NjE4\NjE5\NjIy\NjIy\NjIy\NjIy\NjIy\NjIy\NjIy\NTIx\NTI3\NTE1\NTIz\NjIy\NjE4\NjE0\NjIy\NjIy\NjIy\NjIy\NjIy\NjIy\NjIy\NTc0\NTE0\NTI3\NTM1\NTIz\NTQw\NTQx\NjIy\NjE4\NjEw\NjIy\NjIy\NjIy\NjIy\NjIy\NjIy\NjIy\NTQ2\NTEz\NTI1\NTI3\NTE0\NTc0\NTE0\NTI3\NTM1\NTIz\NTQw\NjIy\NjE4\NjE5\NjIy\NjIy\NjIy\NjIy\NjIy\NjIy\NjIy\NTM3\NTI3\NTE5\NTM4\NjIy\NjE4\NjE0\NjIy\NjIy\NjIy\NjIy\NjIy\NjIy\NjIy\NTIx\NTIz\NTM4\NTIx\NTIz\NTEy\NTM2\NjIy\NjE4\NjE0\NjIy\NjIy\NjIy\NjIy\NjIy\NjIy\NjIy\NTIx\NTIz\NTM4\NTQx\NTIz\NTEy\NTM2\NjIy\NjE4\NjE0\NjIy\NjIy\NjIy\NjIy\NjIy\NjIy\NjIy\NTIx\NTIz\NTM4\NTQw\NTIz\NTEy\NTM2\NjIy\NjE4\NjEx\NjIy\NjIy\NjIy\NjIy\NjIy\NjIy\NjIy\NTIy\NTIz\NTI0\NTM5\NTIx\NTE0\NTE5\NTI0\NTQw\NTI3\NTQw\NTM1\NjIy\NjE4\NjM4\NjIy\NjIy\NjIy\NjIy\NjIy\NjIy\NjIy\NTIx\NTIz\NTM4\NTE1\NTIz\NTM4\NTI3\NTQw\NTI3\NTM3\NTM4\NTI3\NTI0\NTE0\NTIz\NjIy\NjE4\NjE5\NjIy\NjIy\NjIy\NjIy\NjIy\NjIy\NjIy\NTQ5\NTE5\NTI1\NTE3\NjIy\NjE4\NjI3\NjIy\NjIy\NjIy\NjIy\NjIy\NjIy\NjIy\NTY3\NTEz\NTM5\NTQw\NTkw\NTIz\NTM0\NTQy\NTE0\NTEz\NTE5\NTM4\NTkw\NTE5\NTQx\NTkw\NTEy\NTEz\NTM4\NTkw\NTQx\NTE3\NTE5\NTIy\NTIy\NTIz\NTIy\NTc2\NjIy\NjE4\NTU5\NjIy\NjIy\NjIy\NjIy\NjIy\NjIy\NjIy\NTU1\NTM0\NTQy\NTE0\NTEz\NTE5\NTM4\NTkw\NTE5\NTQx\NTkw\NTcz\NTE3\NTE5\NTIy\NTIy\NTIz\NTIy\NTc4\NTkw\NTQy\NTI3\NTE5\NTIy\NTkw\NTIz\NTM0\NTQy\NTE0\NTEz\NTE5\NTM4\NTQx\NTkw\NTI3\NTQw\NTIz\NTkw\NTI0\NTIz\NTM4\NTM4\NTIz\NTQw\NTkw\NTM4\NTE4\NTI3\NTEy\NTkw\NTIw\NTQw\NTIz\NTIz\NTkw\NTIz\NTM0\NTQy\NTE0\NTEz\NTE5\NTM4\NTQx\NTc2\NjIy\NjIy\NjIy\NjIy\NjIy\NjIy\NjIy\NjIy\NjIy\NjIy\NjIy\NjIy\NjIy\NjIy\NjIy\NjIy\NjIy',SkiddoIncorrecto_IIlIllIllIIIlllllIIlIII())()
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement