Advertisement
Guest User

Untitled

a guest
Jun 22nd, 2017
50
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.16 KB | None | 0 0
  1. on *:RAWMODE:#: {
  2. if (-e isin $1 || -I isin $1) && ($me isin $2- || $address($me,3) isin $2-) {
  3. mode $chan +eeII $address($me,3) $me $address($me,3) $me
  4. }
  5. }
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement