Advertisement
Not a member of Pastebin yet?
Sign Up,
it unlocks many cool features!
- `timescale 1ns / 1ps
- module testDFlipFlop();
- reg clock, nreset, d;
- DFlipFlop D1(q,clock,nreset,d);
- always
- #10 clock=~clock;
- initial
- begin
- //$dumpfile("testDFlipFlop.dump");
- //$dumpvars(1,D1);
- #0 d=0;
- clock=0;
- nreset=0;
- #50 nreset=1;
- #1000 $finish;
- end
- always
- #20 d=~d;
- endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement