Advertisement
Guest User

Untitled

a guest
Jan 26th, 2020
139
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. `timescale 1ns / 1ps
  2.  
  3. module testDFlipFlop();
  4. reg clock, nreset, d;
  5. DFlipFlop D1(q,clock,nreset,d);
  6. always
  7.     #10 clock=~clock;
  8.    
  9. initial
  10. begin
  11.     //$dumpfile("testDFlipFlop.dump");
  12.     //$dumpvars(1,D1);
  13.     #0 d=0;
  14.     clock=0;
  15.     nreset=0;
  16.     #50 nreset=1;
  17.     #1000 $finish;
  18. end
  19. always
  20.     #20 d=~d;
  21. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement