Advertisement
aridokmecian

Untitled

Nov 25th, 2018
106
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. module LabL;
  2. reg [31:0] a0, a1, a2, a3;
  3. wire [31:0] z;
  4. reg [1:0] c;
  5. integer i, j, k, l;
  6. yMux4to1 #(32) mux(z , a0, a1, a2, a3, c);
  7. initial
  8. repeat (10)
  9. begin
  10.   a0 = $random;
  11.   a1 = $random;
  12.   a2 = $random;
  13.   a3 = $random;
  14.   c = $random % 2;
  15.   #1
  16.   if(c === 0 && z === a0)
  17.   $display("PASS: a0=%d, a1=%d, a2=%d, a3=%d, c= %d, z=%d", a0, a1, a2, a3, c, z);
  18.   else if(c === 1 && z === a1)
  19.   $display("PASS: a0=%d, a1=%d, a2=%d, a3=%d, c= %d, z=%d", a0, a1, a2, a3, c, z);
  20.   else if(c === 2 && z === a2)
  21.   $display("PASS: a0=%d, a1=%d, a2=%d, a3=%d, c= %d, z=%d", a0, a1, a2, a3, c, z);
  22.   else if(c === 3 && z === a3)
  23.   $display("PASS: a0=%d, a1=%d, a2=%d, a3=%d, c= %d, z=%d", a0, a1, a2, a3, c, z);
  24.   else
  25.   $display("FAIL: a0=%d, a1=%d, a2=%d, a3=%d, c= %d, z=%d", a0, a1, a2, a3, c, z);
  26. end
  27. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement