Advertisement
Guest User

Untitled

a guest
Mar 5th, 2019
85
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. `timescale 1ns/1ps
  2.  
  3. module lab1_test;
  4.  
  5.     logic F, F_test;
  6.     logic [3:0] inputs;
  7.     logic a,b;
  8.     logic [1:0] sel;
  9.    
  10.     initial begin
  11.         inputs=0;
  12.        
  13.         repeat (2**4)
  14.         begin
  15.             #1 $strobe("value: %b F = %b F_test=%b equal:%b | a:%b b:%b sel[0]:%b sel[1]:%b", inputs, F, F_test, (F==F_test), a, b, sel[0], sel[1]);
  16.             #9 inputs = inputs+1;
  17.         end
  18.     end
  19.    
  20.     assign a = inputs[1];
  21.     assign b = inputs[0];
  22.     assign sel = inputs[3:2];
  23.  
  24.     lab1 uut_inst(sel, a, b, F);
  25.  
  26.     always_comb
  27.     begin
  28.         F_test = (sel[1] & ~a & ~b) | (sel[1] & a & b) | (sel[0] & ~a & b) | (~sel[0] & ~b) | (~sel[0] & a);
  29.     end
  30.  
  31. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement