Advertisement
Guest User

Untitled

a guest
Apr 12th, 2018
95
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. ; f = ((a+2*(b^2))*(2*(c^2)-d)/4*(a+e^2)    ;oper=bite znakovie
  2.     .model small
  3.     .stack 100h
  4.     .386
  5.     .data
  6.     a   db  10
  7.     b   db  2
  8.     c   db  3
  9.     d   db  1
  10.     e   db  2
  11.     w   dd  ?
  12.     x   dd  ?
  13.     y   dd  ?
  14.     z   dd  ?
  15.     .code
  16. start:
  17.     mov ax, @data
  18.     mov ds, ax
  19.     mov eax, 0
  20.     mov al, b
  21.     imul al     ;ax=b^2
  22.     mov bx,ax   ;bx=b^2
  23.     mov al,2
  24.     cbw
  25.     imul bx
  26.     rol eax,16
  27.     mov ax,dx
  28.     ror eax,16  ;eax=2*b^2
  29.     mov ebx,eax
  30.     xor eax,eax
  31.     mov al,a
  32.     cbw
  33.     cwde    ;eax=a
  34.     add eax,ebx ;eax=a+2*(b^2)
  35.     mov w,eax   ;w=a+2*(b^2)
  36.     mov al,c
  37.     imul al ;ax=c^2
  38.     mov bx,ax   ;bx=c^2
  39.     mov al,2
  40.     cbw
  41.     imul bx
  42.     rol eax,16
  43.     mov ax,dx
  44.     ror eax,16  ;eax=2*c^2
  45.     mov ebx,eax ;ebx=2*c^2
  46.     mov al,d
  47.     cbw
  48.     cwde
  49.     sub ebx,eax ;ebx=(2*c^2)-d
  50.     mov x,ebx   ;x=(2*c^2)-d
  51.     mov eax,w   ;eax=a+2*b^2
  52.     imul eax,ebx    ;edx:eax=((a+2*(b^2))*(2*(c^2)-d)
  53.     mov z,edx   ;z=starsh
  54.     mov y,eax   ;y=mladsh
  55.     xor eax,eax
  56.     mov al,e
  57.     imul al     ;ax=e^2
  58.     mov bx,ax   ;bx=e^2
  59.     mov al,a
  60.     cbw
  61.     add ax,bx   ;ax=(a+e^2)
  62.     mov bx,ax
  63.     mox al,4
  64.     cbw
  65.     imul bx
  66.     rol eax,16
  67.     mov ax,dx
  68.     ror eax,16  ;eax=4*(a+e^2)
  69.     cmp eax,0   ;znamenatel ne=0 ??
  70.     je met
  71.     mov ecx,eax
  72.     mov eax,y
  73.     mov edx,z
  74.     idiv ecx    ;eax=chastnoe,edx=ostatok
  75.    
  76.        
  77.    
  78. met:    mov ax, 4c00h
  79.     int 21h
  80.     end start
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement