Advertisement
Guest User

errorlog

a guest
Jan 4th, 2023
46
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
Bash 3.41 KB | None | 0 0
  1. 57. Executing OPT_CLEAN pass (remove unused cells and wires).
  2. Finding unused cells or wires in module \top..
  3.  
  4. 58. Executing BLIF backend.
  5.  
  6. Warnings: 3 unique messages, 4 total
  7. End of script. Logfile hash: 86a7b8cb34, CPU: user 19.08s system 0.42s, MEM: 346.25 MB peak
  8. Yosys 0.19+20 (git sha1 a82eff2e2, x86_64-conda-linux-gnu-cc 11.2.0 -fvisibility-inlines-hidden -fmessage-length=0 -march=nocona -mtune=haswell -ftree-vectorize -fPIC -fstack-protector-strong -fno-plt -O2 -ffunction-sections -fdebug-prefix-map=/home/runner/work/conda-eda/conda-eda/workdir/conda-env/conda-bld/yosys_1658304998991/work=/usr/local/src/conda/yosys-0.19_21_ga82eff2e2 -fdebug-prefix-map=/home/jake/opt/f4pga/xc7/conda/envs/xc7=/usr/local/src/conda-prefix -fPIC -Os -fno-merge-constants)
  9. Time spent: 44% 53x read_verilog (10 sec), 19% 1x tcl (4 sec), ...
  10. cd /home/jake/Documents/git/f4pga-examples/xc7/timer/build/basys3 && symbiflow_pack -e top.eblif -d xc7a50t_test  2>&1 > /dev/null
  11. Error 1: ~/opt/f4pga/xc7/share/f4pga/arch/xc7a50t_test/arch.timing.xml:-1 Failed to open file
  12. Traceback (most recent call last):
  13.   File "/home/jake/opt/f4pga/xc7/conda/envs/xc7/bin/symbiflow_pack", line 8, in <module>
  14.     sys.exit(pack())
  15.   File "/home/jake/opt/f4pga/xc7/conda/envs/xc7/lib/python3.7/site-packages/f4pga/wrappers/sh/__init__.py", line 502, in pack
  16.     p_vpr_run(["--pack"] + extra_args, env=p_vpr_env_from_args("pack"))
  17.   File "/home/jake/opt/f4pga/xc7/conda/envs/xc7/lib/python3.7/site-packages/f4pga/wrappers/sh/__init__.py", line 135, in p_vpr_run
  18.     + args,
  19.   File "/home/jake/opt/f4pga/xc7/conda/envs/xc7/lib/python3.7/subprocess.py", line 363, in check_call
  20.     raise CalledProcessError(retcode, cmd)
  21. subprocess.CalledProcessError: Command '['/home/jake/opt/f4pga/xc7/conda/envs/xc7/bin/vpr', '~/opt/f4pga/xc7/share/f4pga/arch/xc7a50t_test/arch.timing.xml', 'top.eblif', '--max_router_iterations', '500', '--routing_failure_predictor', 'off', '--router_high_fanout_threshold', '-1', '--constant_net_method', 'route', '--route_chan_width', '500', '--router_heap', 'bucket', '--clock_modeling', 'route', '--place_delta_delay_matrix_calculation_method', 'dijkstra', '--place_delay_model', 'delta', '--router_lookahead', 'extended_map', '--check_route', 'quick', '--strict_checks', 'off', '--allow_dangling_combinational_nodes', 'on', '--disable_errors', 'check_unbuffered_edges:check_route', '--congested_routing_iteration_threshold', '0.8', '--incremental_reroute_delay_ripup', 'off', '--base_cost_type', 'delay_normalized_length_bounded', '--bb_factor', '10', '--acc_fac', '0.7', '--astar_fac', '1.8', '--initial_pres_fac', '2.828', '--pres_fac_mult', '1.2', '--check_rr_graph', 'off', '--suppress_warnings', 'noisy_warnings-xc7a50t_test_pack.log,sum_pin_class:check_unbuffered_edges:load_rr_indexed_data_T_values:check_rr_node:trans_per_R:check_route:set_rr_graph_tool_comment:calculate_average_switch', '--device', 'xc7a50t-test', '--read_rr_graph', '~/opt/f4pga/xc7/share/f4pga/arch/xc7a50t_test/rr_graph_xc7a50t_test.rr_graph.real.bin', '--read_router_lookahead', '~/opt/f4pga/xc7/share/f4pga/arch/xc7a50t_test/rr_graph_xc7a50t_test.lookahead.bin', '--read_placement_delay_lookup', '~/opt/f4pga/xc7/share/f4pga/arch/xc7a50t_test/rr_graph_xc7a50t_test.place_delay.bin', '--pack']' returned non-zero exit status 1.
  22. make: *** [/home/jake/Documents/git/f4pga-examples/xc7/timer/../../common/common.mk:70: /home/jake/Documents/git/f4pga-examples/xc7/timer/build/basys3/top.net] Error 1
  23.  
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement