Advertisement
SquirrelInBox

table

Nov 7th, 2015
150
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1.     .model  tiny
  2.     .code
  3.     org 100h
  4.  
  5. main:
  6.     ;; аргументы нормер режима 01 02 07, номер страницы
  7.     ;; в нем выводить
  8.    
  9.     mov ah, 0fh
  10.     int 10h
  11.     mov old_vm, al
  12.     mov old_ap, bh
  13.     xor ax, ax
  14.     mov al, our_vm
  15.     push ax
  16.     mov al, our_ap
  17.     push ax
  18.     call change_vm
  19.    
  20.    
  21.    
  22.     mov column, 4
  23.     mov row, 32
  24.     mov cx, 10h
  25.     ;mov dx, 10h
  26.     mov symb, 00h
  27.  
  28.     ;32, 4 - начальные координаты курсора
  29.     mov bh, our_ap 
  30. l:
  31.     mov ah, 02h
  32.     mov dh, row
  33.     mov dl, column
  34.     push cx
  35.     push dx
  36.     int 10h
  37.     mov cx, 10h
  38. ;   xchg cx, dx
  39.     inc column
  40.     pop dx
  41.    
  42.     l_2:
  43.         mov ah, 09h
  44.         mov al, symb
  45.         mov bl, 02h
  46.         push cx
  47.         mov cx, 01h
  48.         int 10h
  49.         pop cx
  50.         inc symb
  51.         inc dh
  52.         loop l_2
  53.        
  54.         ;inc column
  55.         pop cx
  56. ;       xchg cx, dx
  57.     loop l
  58.    
  59.    
  60.     xor ax, ax
  61.     int 16h     ; Ждет нажатия клавиши
  62.    
  63.     xor ax, ax
  64.     mov al, old_vm
  65.     push ax
  66.     mov al, old_ap
  67.     push ax
  68.     call change_vm
  69.     ret
  70.  
  71. change_vm proc
  72.     push bp
  73.     mov bp, sp
  74.     push ax
  75.     mov ah, 00h
  76.     mov al, [bp+6] ;vm
  77.     int 10h
  78.     mov ah, 05h
  79.     mov al, [bp+4] ;ap
  80.     int 10h
  81.     pop ax
  82.     pop bp
  83.     ret 4
  84. change_vm endp
  85.  
  86. our_vm db 03h
  87. our_ap db 00h
  88. old_vm db ?
  89. old_ap db ?
  90. column db ?
  91. row db ?
  92. symb db ?
  93.  
  94.  
  95. end main
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement