Advertisement
Guest User

Untitled

a guest
Dec 23rd, 2018
113
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. Release 14.7 - xst P.20160913 (lin64)
  2. Copyright (c) 1995-2013 Xilinx, Inc.  All rights reserved.
  3. -->
  4. Parameter TMPDIR set to xst/projnav.tmp
  5.  
  6.  
  7. Total REAL time to Xst completion: 1.00 secs
  8. Total CPU time to Xst completion: 0.12 secs
  9.  
  10. -->
  11. Parameter xsthdpdir set to xst
  12.  
  13.  
  14. Total REAL time to Xst completion: 1.00 secs
  15. Total CPU time to Xst completion: 0.12 secs
  16.  
  17. -->
  18. Reading design: top.prj
  19.  
  20. TABLE OF CONTENTS
  21.   1) Synthesis Options Summary
  22.   2) HDL Parsing
  23.   3) HDL Elaboration
  24.   4) HDL Synthesis
  25.        4.1) HDL Synthesis Report
  26.   5) Advanced HDL Synthesis
  27.        5.1) Advanced HDL Synthesis Report
  28.   6) Low Level Synthesis
  29.   7) Partition Report
  30.   8) Design Summary
  31.        8.1) Primitive and Black Box Usage
  32.        8.2) Device utilization summary
  33.        8.3) Partition Resource Summary
  34.        8.4) Timing Report
  35.             8.4.1) Clock Information
  36.             8.4.2) Asynchronous Control Signals Information
  37.             8.4.3) Timing Summary
  38.             8.4.4) Timing Details
  39.             8.4.5) Cross Clock Domains Report
  40.  
  41.  
  42. =========================================================================
  43. *                      Synthesis Options Summary                        *
  44. =========================================================================
  45. ---- Source Parameters
  46. Input File Name                    : "top.prj"
  47. Ignore Synthesis Constraint File   : NO
  48.  
  49. ---- Target Parameters
  50. Output File Name                   : "top"
  51. Output Format                      : NGC
  52. Target Device                      : xa6slx4-3-csg225
  53.  
  54. ---- Source Options
  55. Top Module Name                    : top
  56. Automatic FSM Extraction           : YES
  57. FSM Encoding Algorithm             : Auto
  58. Safe Implementation                : No
  59. FSM Style                          : LUT
  60. RAM Extraction                     : Yes
  61. RAM Style                          : Auto
  62. ROM Extraction                     : Yes
  63. Shift Register Extraction          : YES
  64. ROM Style                          : Auto
  65. Resource Sharing                   : YES
  66. Asynchronous To Synchronous        : NO
  67. Shift Register Minimum Size        : 2
  68. Use DSP Block                      : Auto
  69. Automatic Register Balancing       : No
  70.  
  71. ---- Target Options
  72. LUT Combining                      : Auto
  73. Reduce Control Sets                : Auto
  74. Add IO Buffers                     : YES
  75. Global Maximum Fanout              : 100000
  76. Add Generic Clock Buffer(BUFG)     : 24
  77. Register Duplication               : YES
  78. Optimize Instantiated Primitives   : NO
  79. Use Clock Enable                   : Yes
  80. Use Synchronous Set                : Yes
  81. Use Synchronous Reset              : Yes
  82. Pack IO Registers into IOBs        : Auto
  83. Equivalent register Removal        : YES
  84.  
  85. ---- General Options
  86. Optimization Goal                  : Speed
  87. Optimization Effort                : 1
  88. Power Reduction                    : NO
  89. Keep Hierarchy                     : No
  90. Netlist Hierarchy                  : As_Optimized
  91. RTL Output                         : Yes
  92. Global Optimization                : AllClockNets
  93. Read Cores                         : YES
  94. Write Timing Constraints           : NO
  95. Cross Clock Analysis               : NO
  96. Hierarchy Separator                : /
  97. Bus Delimiter                      : <>
  98. Case Specifier                     : Maintain
  99. Slice Utilization Ratio            : 100
  100. BRAM Utilization Ratio             : 100
  101. DSP48 Utilization Ratio            : 100
  102. Auto BRAM Packing                  : NO
  103. Slice Utilization Ratio Delta      : 5
  104.  
  105. =========================================================================
  106.  
  107.  
  108. =========================================================================
  109. *                          HDL Parsing                                  *
  110. =========================================================================
  111. Analyzing Verilog file "/home/ise/Desktop/Keyboard/write_cycle.v" into library work
  112. Parsing module <write_cycle>.
  113. Analyzing Verilog file "/home/ise/Desktop/Keyboard/main_controller.v" into library work
  114. Parsing module <main_controller>.
  115. Analyzing Verilog file "/home/ise/Desktop/Keyboard/lcd_init_refresh.v" into library work
  116. Parsing module <lcd_init_refresh>.
  117. Analyzing Verilog file "/home/ise/Desktop/Keyboard/lcd_dp.v" into library work
  118. Parsing module <lcd_dp>.
  119. Analyzing Verilog file "/home/ise/Desktop/Keyboard/LCD_controller.v" into library work
  120. Parsing module <LCD_controller>.
  121. Analyzing Verilog file "/home/ise/Desktop/Keyboard/clk_divider.v" into library work
  122. Parsing module <clk_divider>.
  123. Analyzing Verilog file "/home/ise/Desktop/Keyboard/LCD_driver.v" into library work
  124. Parsing module <LCD_driver>.
  125. Analyzing Verilog file "/home/ise/Desktop/Keyboard/Keyboard2.v" into library work
  126. Parsing module <Keyboard2>.
  127. Analyzing Verilog file "/home/ise/Desktop/Keyboard/decoder.v" into library work
  128. Parsing module <decoder>.
  129. Analyzing Verilog file "/home/ise/Desktop/Keyboard/top.v" into library work
  130. Parsing module <top>.
  131.  
  132. =========================================================================
  133. *                            HDL Elaboration                            *
  134. =========================================================================
  135.  
  136. Elaborating module <top>.
  137.  
  138. Elaborating module <Keyboard2>.
  139. WARNING:HDLCompiler:413 - "/home/ise/Desktop/Keyboard/Keyboard2.v" Line 37: Result of 5-bit expression is truncated to fit in 4-bit target.
  140.  
  141. Elaborating module <decoder>.
  142. Reading initialization file \"scan_codes.dat\".
  143.  
  144. Elaborating module <LCD_driver(div=50000)>.
  145.  
  146. Elaborating module <LCD_controller>.
  147.  
  148. Elaborating module <main_controller>.
  149.  
  150. Elaborating module <write_cycle>.
  151.  
  152. Elaborating module <lcd_init_refresh>.
  153. WARNING:HDLCompiler:413 - "/home/ise/Desktop/Keyboard/lcd_init_refresh.v" Line 64: Result of 32-bit expression is truncated to fit in 2-bit target.
  154. WARNING:HDLCompiler:413 - "/home/ise/Desktop/Keyboard/lcd_init_refresh.v" Line 73: Result of 32-bit expression is truncated to fit in 4-bit target.
  155.  
  156. Elaborating module <lcd_dp>.
  157.  
  158. Elaborating module <clk_divider(div=50000)>.
  159.  
  160. =========================================================================
  161. *                           HDL Synthesis                               *
  162. =========================================================================
  163.  
  164. Synthesizing Unit <top>.
  165.    Related source file is "/home/ise/Desktop/Keyboard/top.v".
  166.        div = 50000
  167.    Found 128-bit register for signal <data>.
  168.    Summary:
  169.     inferred 128 D-type flip-flop(s).
  170. Unit <top> synthesized.
  171.  
  172. Synthesizing Unit <Keyboard2>.
  173.    Related source file is "/home/ise/Desktop/Keyboard/Keyboard2.v".
  174.    Found 11-bit register for signal <shr>.
  175.    Found 4-bit register for signal <counter>.
  176.    Found 8-bit register for signal <tmp>.
  177.    Found 2-bit register for signal <temp>.
  178.    Found 4-bit adder for signal <counter[3]_GND_2_o_add_4_OUT> created at line 37.
  179.    Summary:
  180.     inferred   1 Adder/Subtractor(s).
  181.     inferred  25 D-type flip-flop(s).
  182.     inferred   1 Multiplexer(s).
  183. Unit <Keyboard2> synthesized.
  184.  
  185. Synthesizing Unit <decoder>.
  186.    Related source file is "/home/ise/Desktop/Keyboard/decoder.v".
  187. WARNING:Xst:647 - Input <data<7:7>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
  188. WARNING:Xst:2999 - Signal 'ROmem', unconnected in block 'decoder', is tied to its initial value.
  189. WARNING:Xst:3035 - Index value(s) does not match array range for signal <ROmem>, simulation mismatch.
  190.    Found 80x8-bit single-port Read Only RAM <Mram_ROmem> for signal <ROmem>.
  191.    Found 8-bit register for signal <ascii>.
  192.    Summary:
  193.     inferred   1 RAM(s).
  194.     inferred   8 D-type flip-flop(s).
  195. Unit <decoder> synthesized.
  196.  
  197. Synthesizing Unit <LCD_driver>.
  198.    Related source file is "/home/ise/Desktop/Keyboard/LCD_driver.v".
  199.        div = 50000
  200.    Summary:
  201.     no macro.
  202. Unit <LCD_driver> synthesized.
  203.  
  204. Synthesizing Unit <LCD_controller>.
  205.    Related source file is "/home/ise/Desktop/Keyboard/LCD_controller.v".
  206.    Summary:
  207.     no macro.
  208. Unit <LCD_controller> synthesized.
  209.  
  210. Synthesizing Unit <main_controller>.
  211.    Related source file is "/home/ise/Desktop/Keyboard/main_controller.v".
  212.    Set property "fsm_encoding = user" for signal <st>.
  213.    Set property "fsm_encoding = user" for signal <nst>.
  214.    Found 3-bit register for signal <st>.
  215.    Found finite state machine <FSM_0> for signal <st>.
  216.    -----------------------------------------------------------------------
  217.    | States             | 6                                              |
  218.    | Transitions        | 15                                             |
  219.    | Inputs             | 2                                              |
  220.    | Outputs            | 4                                              |
  221.    | Clock              | clk (rising_edge)                              |
  222.    | Reset              | rst (positive)                                 |
  223.    | Reset type         | asynchronous                                   |
  224.    | Reset State        | 000                                            |
  225.    | Encoding           | user                                           |
  226.    | Implementation     | LUT                                            |
  227.    -----------------------------------------------------------------------
  228.    Summary:
  229.     inferred   1 Finite State Machine(s).
  230. Unit <main_controller> synthesized.
  231.  
  232. Synthesizing Unit <write_cycle>.
  233.    Related source file is "/home/ise/Desktop/Keyboard/write_cycle.v".
  234.    Set property "fsm_encoding = user" for signal <st>.
  235.    Set property "fsm_encoding = user" for signal <nst>.
  236.    Found 2-bit register for signal <st>.
  237.    Found finite state machine <FSM_1> for signal <st>.
  238.    -----------------------------------------------------------------------
  239.    | States             | 4                                              |
  240.    | Transitions        | 9                                              |
  241.    | Inputs             | 2                                              |
  242.    | Outputs            | 2                                              |
  243.    | Clock              | clk (rising_edge)                              |
  244.    | Reset              | rst (positive)                                 |
  245.    | Reset type         | asynchronous                                   |
  246.    | Reset State        | 00                                             |
  247.    | Encoding           | user                                           |
  248.    | Implementation     | LUT                                            |
  249.    -----------------------------------------------------------------------
  250.    Summary:
  251.     inferred   1 Finite State Machine(s).
  252. Unit <write_cycle> synthesized.
  253.  
  254. Synthesizing Unit <lcd_init_refresh>.
  255.    Related source file is "/home/ise/Desktop/Keyboard/lcd_init_refresh.v".
  256.    Set property "fsm_encoding = user" for signal <st>.
  257.    Set property "fsm_encoding = user" for signal <nst>.
  258.    Found 2-bit register for signal <init_sel>.
  259.    Found 2-bit register for signal <st>.
  260.    Found 4-bit register for signal <mux_sel>.
  261.    Found finite state machine <FSM_2> for signal <st>.
  262.    -----------------------------------------------------------------------
  263.    | States             | 4                                              |
  264.    | Transitions        | 11                                             |
  265.    | Inputs             | 4                                              |
  266.    | Outputs            | 3                                              |
  267.    | Clock              | clk (rising_edge)                              |
  268.    | Reset              | rst (positive)                                 |
  269.    | Reset type         | asynchronous                                   |
  270.    | Reset State        | 00                                             |
  271.    | Encoding           | user                                           |
  272.    | Implementation     | LUT                                            |
  273.    -----------------------------------------------------------------------
  274.    Found 2-bit subtractor for signal <GND_8_o_GND_8_o_sub_14_OUT<1:0>> created at line 64.
  275.    Found 4-bit subtractor for signal <GND_8_o_GND_8_o_sub_23_OUT<3:0>> created at line 73.
  276.    Summary:
  277.     inferred   2 Adder/Subtractor(s).
  278.     inferred   6 D-type flip-flop(s).
  279.     inferred   3 Multiplexer(s).
  280.     inferred   1 Finite State Machine(s).
  281. Unit <lcd_init_refresh> synthesized.
  282.  
  283. Synthesizing Unit <lcd_dp>.
  284.    Related source file is "/home/ise/Desktop/Keyboard/lcd_dp.v".
  285.    Found 8-bit 16-to-1 multiplexer for signal <counter_mux> created at line 43.
  286.    Summary:
  287.     inferred   3 Multiplexer(s).
  288. Unit <lcd_dp> synthesized.
  289.  
  290. Synthesizing Unit <clk_divider>.
  291.    Related source file is "/home/ise/Desktop/Keyboard/clk_divider.v".
  292.        div = 50000
  293.    Found 16-bit register for signal <cnt>.
  294.    Found 1-bit register for signal <clk_slow>.
  295.    Found 16-bit adder for signal <cnt[15]_GND_10_o_add_1_OUT> created at line 46.
  296.    Summary:
  297.     inferred   1 Adder/Subtractor(s).
  298.     inferred  17 D-type flip-flop(s).
  299.     inferred   1 Multiplexer(s).
  300. Unit <clk_divider> synthesized.
  301.  
  302. =========================================================================
  303. HDL Synthesis Report
  304.  
  305. Macro Statistics
  306. # RAMs                                                 : 1
  307. 80x8-bit single-port Read Only RAM                    : 1
  308. # Adders/Subtractors                                   : 4
  309. 16-bit adder                                          : 1
  310. 2-bit subtractor                                      : 1
  311. 4-bit adder                                           : 1
  312. 4-bit subtractor                                      : 1
  313. # Registers                                            : 10
  314. 1-bit register                                        : 1
  315. 11-bit register                                       : 1
  316. 128-bit register                                      : 1
  317. 16-bit register                                       : 1
  318. 2-bit register                                        : 2
  319. 4-bit register                                        : 2
  320. 8-bit register                                        : 2
  321. # Multiplexers                                         : 8
  322. 1-bit 2-to-1 multiplexer                              : 1
  323. 16-bit 2-to-1 multiplexer                             : 1
  324. 2-bit 2-to-1 multiplexer                              : 1
  325. 4-bit 2-to-1 multiplexer                              : 2
  326. 8-bit 16-to-1 multiplexer                             : 1
  327. 8-bit 2-to-1 multiplexer                              : 2
  328. # FSMs                                                 : 3
  329.  
  330. =========================================================================
  331.  
  332. =========================================================================
  333. *                       Advanced HDL Synthesis                          *
  334. =========================================================================
  335.  
  336. WARNING:Xst:2677 - Node <shr_0> of sequential type is unconnected in block <keyboardInstance>.
  337.  
  338. Synthesizing (advanced) Unit <Keyboard2>.
  339. The following registers are absorbed into counter <counter>: 1 register on signal <counter>.
  340. Unit <Keyboard2> synthesized (advanced).
  341.  
  342. Synthesizing (advanced) Unit <clk_divider>.
  343. The following registers are absorbed into counter <cnt>: 1 register on signal <cnt>.
  344. Unit <clk_divider> synthesized (advanced).
  345.  
  346. Synthesizing (advanced) Unit <decoder>.
  347. INFO:Xst:3226 - The RAM <Mram_ROmem> will be implemented as a BLOCK RAM, absorbing the following register(s): <ascii>
  348.    -----------------------------------------------------------------------
  349.    | ram_type           | Block                               |          |
  350.    -----------------------------------------------------------------------
  351.    | Port A                                                              |
  352.    |     aspect ratio   | 80-word x 8-bit                     |          |
  353.    |     mode           | write-first                         |          |
  354.    |     clkA           | connected to signal <clk>           | rise     |
  355.    |     enA            | connected to signal <ready>         | high     |
  356.    |     weA            | connected to signal <GND>           | high     |
  357.    |     addrA          | connected to signal <data>          |          |
  358.    |     diA            | connected to signal <GND>           |          |
  359.    |     doA            | connected to signal <ascii>         |          |
  360.    -----------------------------------------------------------------------
  361.    | optimization       | speed                               |          |
  362.    -----------------------------------------------------------------------
  363. Unit <decoder> synthesized (advanced).
  364. WARNING:Xst:2677 - Node <shr_0> of sequential type is unconnected in block <Keyboard2>.
  365.  
  366. =========================================================================
  367. Advanced HDL Synthesis Report
  368.  
  369. Macro Statistics
  370. # RAMs                                                 : 1
  371. 80x8-bit single-port block Read Only RAM              : 1
  372. # Adders/Subtractors                                   : 2
  373. 2-bit subtractor                                      : 1
  374. 4-bit subtractor                                      : 1
  375. # Counters                                             : 2
  376. 16-bit up counter                                     : 1
  377. 4-bit up counter                                      : 1
  378. # Registers                                            : 155
  379. Flip-Flops                                            : 155
  380. # Multiplexers                                         : 5
  381. 2-bit 2-to-1 multiplexer                              : 1
  382. 4-bit 2-to-1 multiplexer                              : 1
  383. 8-bit 16-to-1 multiplexer                             : 1
  384. 8-bit 2-to-1 multiplexer                              : 2
  385. # FSMs                                                 : 3
  386.  
  387. =========================================================================
  388.  
  389. =========================================================================
  390. *                         Low Level Synthesis                           *
  391. =========================================================================
  392. Optimizing FSM <FSM_0> on signal <st[1:3]> with user encoding.
  393. -------------------
  394. State | Encoding
  395. -------------------
  396. 000   | 000
  397. 001   | 001
  398. 011   | 011
  399. 111   | 111
  400. 100   | 100
  401. 110   | 110
  402. -------------------
  403. Optimizing FSM <FSM_1> on signal <st[1:2]> with user encoding.
  404. -------------------
  405. State | Encoding
  406. -------------------
  407. 00    | 00
  408. 01    | 01
  409. 11    | 11
  410. 10    | 10
  411. -------------------
  412. Optimizing FSM <lcdDriverInst/LcdContr_init/lcdIR_init/FSM_2> on signal <st[1:2]> with user encoding.
  413. -------------------
  414. State | Encoding
  415. -------------------
  416. 00    | 00
  417. 01    | 01
  418. 11    | 11
  419. 10    | 10
  420. -------------------
  421.  
  422. Optimizing unit <top> ...
  423.  
  424. Optimizing unit <Keyboard2> ...
  425.  
  426. Optimizing unit <lcd_dp> ...
  427.  
  428. Optimizing unit <lcd_init_refresh> ...
  429.  
  430. Mapping all equations...
  431. Building and optimizing final netlist ...
  432. Found area constraint ratio of 100 (+ 5) on block top, actual ratio is 13.
  433.  
  434. Final Macro Processing ...
  435.  
  436. =========================================================================
  437. Final Register Report
  438.  
  439. Macro Statistics
  440. # Registers                                            : 182
  441. Flip-Flops                                            : 182
  442.  
  443. =========================================================================
  444.  
  445. =========================================================================
  446. *                           Partition Report                            *
  447. =========================================================================
  448.  
  449. Partition Implementation Status
  450. -------------------------------
  451.  
  452.  No Partitions were found in this design.
  453.  
  454. -------------------------------
  455.  
  456. =========================================================================
  457. *                            Design Summary                             *
  458. =========================================================================
  459.  
  460. Top Level Output File Name         : top.ngc
  461.  
  462. Primitive and Black Box Usage:
  463. ------------------------------
  464. # BELS                             : 171
  465. #      GND                         : 1
  466. #      INV                         : 2
  467. #      LUT1                        : 15
  468. #      LUT2                        : 20
  469. #      LUT3                        : 4
  470. #      LUT4                        : 9
  471. #      LUT5                        : 10
  472. #      LUT6                        : 53
  473. #      MUXCY                       : 15
  474. #      MUXF7                       : 17
  475. #      MUXF8                       : 8
  476. #      VCC                         : 1
  477. #      XORCY                       : 16
  478. # FlipFlops/Latches                : 182
  479. #      FDC                         : 24
  480. #      FDCE                        : 46
  481. #      FDPE                        : 112
  482. # RAMS                             : 1
  483. #      RAMB8BWER                   : 1
  484. # Clock Buffers                    : 1
  485. #      BUFGP                       : 1
  486. # IO Buffers                       : 31
  487. #      IBUF                        : 3
  488. #      OBUF                        : 28
  489.  
  490. Device utilization summary:
  491. ---------------------------
  492.  
  493. Selected Device : xa6slx4csg225-3
  494.  
  495.  
  496. Slice Logic Utilization:
  497. Number of Slice Registers:             182  out of   4800     3%  
  498. Number of Slice LUTs:                  113  out of   2400     4%  
  499.    Number used as Logic:               113  out of   2400     4%  
  500.  
  501. Slice Logic Distribution:
  502. Number of LUT Flip Flop pairs used:    225
  503.   Number with an unused Flip Flop:      43  out of    225    19%  
  504.   Number with an unused LUT:           112  out of    225    49%  
  505.   Number of fully used LUT-FF pairs:    70  out of    225    31%  
  506.   Number of unique control sets:         9
  507.  
  508. IO Utilization:
  509. Number of IOs:                          32
  510. Number of bonded IOBs:                  32  out of    132    24%  
  511.  
  512. Specific Feature Utilization:
  513. Number of Block RAM/FIFO:                1  out of     12     8%  
  514.    Number using Block RAM only:          1
  515. Number of BUFG/BUFGCTRLs:                1  out of     16     6%  
  516.  
  517. ---------------------------
  518. Partition Resource Summary:
  519. ---------------------------
  520.  
  521.  No Partitions were found in this design.
  522.  
  523. ---------------------------
  524.  
  525.  
  526. =========================================================================
  527. Timing Report
  528.  
  529. NOTE: THESE TIMING NUMBERS ARE ONLY A SYNTHESIS ESTIMATE.
  530.      FOR ACCURATE TIMING INFORMATION PLEASE REFER TO THE TRACE REPORT
  531.      GENERATED AFTER PLACE-and-ROUTE.
  532.  
  533. Clock Information:
  534. ------------------
  535. -----------------------------------+------------------------+-------+
  536. Clock Signal                       | Clock buffer(FF name)  | Load  |
  537. -----------------------------------+------------------------+-------+
  538. clk                                | BUFGP                  | 183   |
  539. -----------------------------------+------------------------+-------+
  540.  
  541. Asynchronous Control Signals Information:
  542. ----------------------------------------
  543. No asynchronous control signals found in this design
  544.  
  545. Timing Summary:
  546. ---------------
  547. Speed Grade: -3
  548.  
  549.   Minimum period: 4.357ns (Maximum Frequency: 229.524MHz)
  550.   Minimum input arrival time before clock: 3.689ns
  551.   Maximum output required time after clock: 6.863ns
  552.   Maximum combinational path delay: No path found
  553.  
  554. Timing Details:
  555. ---------------
  556. All values displayed in nanoseconds (ns)
  557.  
  558. =========================================================================
  559. Timing constraint: Default period analysis for Clock 'clk'
  560.  Clock period: 4.357ns (frequency: 229.524MHz)
  561.  Total number of paths / destination ports: 2343 / 346
  562. -------------------------------------------------------------------------
  563. Delay:               4.357ns (Levels of Logic = 2)
  564.  Source:            keyboardInstance/counter_2 (FF)
  565.  Destination:       data_0 (FF)
  566.  Source Clock:      clk rising
  567.  Destination Clock: clk rising
  568.  
  569.  Data Path: keyboardInstance/counter_2 to data_0
  570.                                Gate     Net
  571.    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
  572.    ----------------------------------------  ------------
  573.     FDCE:C->Q             4   0.447   0.931  keyboardInstance/counter_2 (keyboardInstance/counter_2)
  574.     LUT4:I0->O           14   0.203   0.958  keyboardInstance/rdy<3>1 (TRIG_ARR_OBUF)
  575.     LUT6:I5->O           32   0.205   1.291  TRIG_ARR_LED[7]_AND_2_o (TRIG_ARR_LED[7]_AND_2_o)
  576.     FDCE:CE                   0.322          data_0
  577.    ----------------------------------------
  578.    Total                      4.357ns (1.177ns logic, 3.180ns route)
  579.                                       (27.0% logic, 73.0% route)
  580.  
  581. =========================================================================
  582. Timing constraint: Default OFFSET IN BEFORE for Clock 'clk'
  583.  Total number of paths / destination ports: 184 / 184
  584. -------------------------------------------------------------------------
  585. Offset:              3.689ns (Levels of Logic = 1)
  586.  Source:            rst (PAD)
  587.  Destination:       data_0 (FF)
  588.  Destination Clock: clk rising
  589.  
  590.  Data Path: rst to data_0
  591.                                Gate     Net
  592.    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
  593.    ----------------------------------------  ------------
  594.     IBUF:I->O           182   1.222   2.037  rst_IBUF (rst_IBUF)
  595.     FDCE:CLR                  0.430          data_0
  596.    ----------------------------------------
  597.    Total                      3.689ns (1.652ns logic, 2.037ns route)
  598.                                       (44.8% logic, 55.2% route)
  599.  
  600. =========================================================================
  601. Timing constraint: Default OFFSET OUT AFTER for Clock 'clk'
  602.  Total number of paths / destination ports: 275 / 27
  603. -------------------------------------------------------------------------
  604. Offset:              6.863ns (Levels of Logic = 5)
  605.  Source:            lcdDriverInst/LcdContr_init/lcdIR_init/mux_sel_1 (FF)
  606.  Destination:       DB<7> (PAD)
  607.  Source Clock:      clk rising
  608.  
  609.  Data Path: lcdDriverInst/LcdContr_init/lcdIR_init/mux_sel_1 to DB<7>
  610.                                Gate     Net
  611.    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
  612.    ----------------------------------------  ------------
  613.     FDCE:C->Q            38   0.447   1.741  lcdDriverInst/LcdContr_init/lcdIR_init/mux_sel_1 (lcdDriverInst/LcdContr_init/lcdIR_init/mux_sel_1)
  614.     LUT6:I0->O            1   0.203   0.000  lcdDriverInst/LcdDp_init/Mmux_counter_mux_4 (lcdDriverInst/LcdDp_init/Mmux_counter_mux_4)
  615.     MUXF7:I1->O           1   0.140   0.000  lcdDriverInst/LcdDp_init/Mmux_counter_mux_3_f7 (lcdDriverInst/LcdDp_init/Mmux_counter_mux_3_f7)
  616.     MUXF8:I1->O           1   0.152   0.827  lcdDriverInst/LcdDp_init/Mmux_counter_mux_2_f8 (lcdDriverInst/LcdDp_init/counter_mux<0>)
  617.     LUT6:I2->O            1   0.203   0.579  lcdDriverInst/LcdDp_init/Mmux_out11 (DB_0_OBUF)
  618.     OBUF:I->O                 2.571          DB_0_OBUF (DB<0>)
  619.    ----------------------------------------
  620.    Total                      6.863ns (3.716ns logic, 3.147ns route)
  621.                                       (54.1% logic, 45.9% route)
  622.  
  623. =========================================================================
  624.  
  625. Cross Clock Domains Report:
  626. --------------------------
  627.  
  628. Clock to Setup on destination clock clk
  629. ---------------+---------+---------+---------+---------+
  630.               | Src:Rise| Src:Fall| Src:Rise| Src:Fall|
  631. Source Clock   |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall|
  632. ---------------+---------+---------+---------+---------+
  633. clk            |    4.357|         |         |         |
  634. ---------------+---------+---------+---------+---------+
  635.  
  636. =========================================================================
  637.  
  638.  
  639. Total REAL time to Xst completion: 42.00 secs
  640. Total CPU time to Xst completion: 39.67 secs
  641.  
  642. -->
  643.  
  644.  
  645. Total memory usage is 482456 kilobytes
  646.  
  647. Number of errors   :    0 (   0 filtered)
  648. Number of warnings :    8 (   0 filtered)
  649. Number of infos    :    1 (   0 filtered)
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement