Advertisement
Guest User

Untitled

a guest
Nov 20th, 2019
100
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. module Pos_DFlipFlop(D,clk,Q);
  2. input D;
  3. input clk;
  4. output Q;
  5. output Qn;
  6. always @(posedge clk)
  7. begin
  8.  Q <= D;
  9.  Qn = ~Q;
  10. end
  11. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement