Advertisement
Guest User

Untitled

a guest
Apr 28th, 2018
88
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. module random_gen(
  2.   input  clk,
  3.   input  rst_n,
  4.  
  5.   output logic [2:0] data
  6. );
  7.  
  8. logic [31:0] data_internal;
  9. wire feedback = data_internal[31]^data_internal[21]^data_internal[1]^data_internal[0];
  10.  
  11.  
  12. always_ff @(posedge clk)
  13. begin
  14.   if (~rst_n)
  15.     data_internal <= 32'h036f5b6c;
  16.   else
  17.     data_internal <= {data_internal[30:0], feedback};
  18.  
  19. end
  20. always_comb
  21. begin
  22. if(data_internal[2:0] != 3'd0)
  23.     data = data_internal[2:0];
  24. else
  25.     data = 3'd7;
  26. end
  27.  
  28.  
  29. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement