Advertisement
Guest User

Untitled

a guest
Dec 11th, 2019
115
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 1.71 KB | None | 0 0
  1. ; ModuleID = 'codegen'
  2. source_filename = "codegen"
  3. target datalayout = "e-m:e-i64:64-f80:128-n8:16:32:64-S128"
  4. target triple = "x86_64-pc-linux-gnu"
  5.  
  6. @llvm.global_ctors = appending global [0 x { i32, void ()*, i8* }] zeroinitializer
  7.  
  8. ; Function Attrs: norecurse nounwind
  9. define i32 @expr_0_0(i64* nocapture readonly %args, i64* nocapture readonly %arg_addr_offsets, i64* nocapture readnone %local_bitmaps, i16* nocapture readnone %selection_vector, i64 %context_ptr, i64 %nrecords) local_unnamed_addr #0 {
  10. entry:
  11. %0 = bitcast i64* %args to i32**
  12. %"b+1_mem5" = load i32*, i32** %0, align 8
  13. %b_mem_addr = getelementptr i64, i64* %args, i64 3
  14. %1 = bitcast i64* %b_mem_addr to i32**
  15. %b_mem6 = load i32*, i32** %1, align 8
  16. %b_offset_addr = getelementptr i64, i64* %arg_addr_offsets, i64 3
  17. br label %loop
  18.  
  19. loop: ; preds = %loop, %entry
  20. %loop_var = phi i64 [ 0, %entry ], [ %"loop_var+1", %loop ]
  21. %b_addr = load i64, i64* %b_offset_addr, align 8
  22. %2 = add i64 %b_addr, %loop_var
  23. %3 = getelementptr i32, i32* %b_mem6, i64 %2
  24. %b = load i32, i32* %3, align 4
  25. %4 = add nsw i32 %b, 1
  26. %5 = getelementptr i32, i32* %"b+1_mem5", i64 %loop_var
  27. store i32 %4, i32* %5, align 4
  28. %"loop_var+1" = add nuw nsw i64 %loop_var, 1
  29. %"loop_var < nrec" = icmp slt i64 %"loop_var+1", %nrecords
  30. br i1 %"loop_var < nrec", label %loop, label %exit
  31.  
  32. exit: ; preds = %loop
  33. ret i32 0
  34. }
  35.  
  36. attributes #0 = { norecurse nounwind }
  37.  
  38. !llvm.ident = !{!0, !0, !0, !0, !0, !0, !0, !0, !0, !0, !0}
  39. !llvm.module.flags = !{!1}
  40.  
  41. !0 = !{!"clang version 7.0.0-3~ubuntu0.18.04.1 (tags/RELEASE_700/final)"}
  42. !1 = !{i32 1, !"wchar_size", i32 4}
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement