Advertisement
Benny1994

Untitled

Sep 14th, 2023
127
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.34 KB | None | 0 0
  1. `timescale 1ns/1ps
  2. module buttontoled_tb(output wire o_led);
  3. reg i_sw;
  4. buttontoled UUT (.i_sw(i_sw), .o_led(o_led));
  5. always@*// i would asume this is always statment is
  6. begin
  7. assign i_sw = ~i_sw;
  8. end
  9. initial
  10. begin
  11. $dumpfile("test.vcd");
  12. $dumpvars(0,test);
  13. end
  14.  
  15. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement