Advertisement
aridokmecian

Untitled

Nov 25th, 2018
104
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. module yArith(z, cout, a, b, ctrl);
  2. output [31:0] z;
  3. output cout;
  4. input [31:0] a, b;
  5. input ctrl;
  6. wire [31:0] notB, tmp;
  7.  
  8. not my_not[31:0](notB, b);
  9. yMux2 #(32) my_mux(tmp, b, notB, ctrl);
  10. yAdder my_adder(z, cout, a, tmp, ctrl);
  11.  
  12. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement