Advertisement
Guest User

Untitled

a guest
Jun 25th, 2017
67
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. module decode_shift
  2. #(parameter WIDTH = 3)
  3. (input logic [WIDTH-1:0] din, output logic [2**WIDTH-1:0] dout);
  4. always_combdout=1<<din;
  5. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement