Advertisement
foreverfugazi

Untitled

Sep 18th, 2023
510
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. module fulladder_tb();
  2.     logic a,b,c_in;
  3.     logic s,c_out;
  4. fulladder uut(a,b,c_in,s,c_out);
  5. initial
  6.     begin
  7.     a=0;b=0;c_in=0;
  8.     #10 a=0;b=0;c_in=1;
  9.     #10 a=0;b=1;c_in=0;
  10.     #10 a=0;b=1;c_in=1;
  11.     #10 a=1;b=0;c_in=0;
  12.     #10 a=1;b=0;c_in=1;
  13.     #10 a=1;b=1;c_in=0;
  14.     #10 a=1;b=1;c_in=1;
  15.     #10;
  16.     $finish;
  17.     end
  18. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement