Advertisement
Guest User

Verilog - Bonded IOB

a guest
Dec 12th, 2018
90
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 7.93 KB | None | 0 0
  1. Copyright 1986-2017 Xilinx, Inc. All Rights Reserved.
  2. ---------------------------------------------------------------------------------------------------------
  3. | Tool Version : Vivado v.2017.3.1 (win64) Build 2035080 Fri Oct 20 14:20:01 MDT 2017
  4. | Date : Wed Dec 12 17:48:50 2018
  5. | Host : cadence36 running 64-bit major release (build 9200)
  6. | Command : report_utilization -file artix7_utilization_placed.rpt -pb artix7_utilization_placed.pb
  7. | Design : artix7
  8. | Device : 7a35tcpg236-1
  9. | Design State : Fully Placed
  10. ---------------------------------------------------------------------------------------------------------
  11.  
  12. Utilization Design Information
  13.  
  14. Table of Contents
  15. -----------------
  16. 1. Slice Logic
  17. 1.1 Summary of Registers by Type
  18. 2. Slice Logic Distribution
  19. 3. Memory
  20. 4. DSP
  21. 5. IO and GT Specific
  22. 6. Clocking
  23. 7. Specific Feature
  24. 8. Primitives
  25. 9. Black Boxes
  26. 10. Instantiated Netlists
  27.  
  28. 1. Slice Logic
  29. --------------
  30.  
  31. +-------------------------+------+-------+-----------+-------+
  32. | Site Type | Used | Fixed | Available | Util% |
  33. +-------------------------+------+-------+-----------+-------+
  34. | Slice LUTs | 2 | 0 | 20800 | <0.01 |
  35. | LUT as Logic | 2 | 0 | 20800 | <0.01 |
  36. | LUT as Memory | 0 | 0 | 9600 | 0.00 |
  37. | Slice Registers | 0 | 0 | 41600 | 0.00 |
  38. | Register as Flip Flop | 0 | 0 | 41600 | 0.00 |
  39. | Register as Latch | 0 | 0 | 41600 | 0.00 |
  40. | F7 Muxes | 0 | 0 | 16300 | 0.00 |
  41. | F8 Muxes | 0 | 0 | 8150 | 0.00 |
  42. +-------------------------+------+-------+-----------+-------+
  43.  
  44.  
  45. 1.1 Summary of Registers by Type
  46. --------------------------------
  47.  
  48. +-------+--------------+-------------+--------------+
  49. | Total | Clock Enable | Synchronous | Asynchronous |
  50. +-------+--------------+-------------+--------------+
  51. | 0 | _ | - | - |
  52. | 0 | _ | - | Set |
  53. | 0 | _ | - | Reset |
  54. | 0 | _ | Set | - |
  55. | 0 | _ | Reset | - |
  56. | 0 | Yes | - | - |
  57. | 0 | Yes | - | Set |
  58. | 0 | Yes | - | Reset |
  59. | 0 | Yes | Set | - |
  60. | 0 | Yes | Reset | - |
  61. +-------+--------------+-------------+--------------+
  62.  
  63.  
  64. 2. Slice Logic Distribution
  65. ---------------------------
  66.  
  67. +--------------------------+------+-------+-----------+-------+
  68. | Site Type | Used | Fixed | Available | Util% |
  69. +--------------------------+------+-------+-----------+-------+
  70. | Slice | 2 | 0 | 8150 | 0.02 |
  71. | SLICEL | 2 | 0 | | |
  72. | SLICEM | 0 | 0 | | |
  73. | LUT as Logic | 2 | 0 | 20800 | <0.01 |
  74. | using O5 output only | 0 | | | |
  75. | using O6 output only | 2 | | | |
  76. | using O5 and O6 | 0 | | | |
  77. | LUT as Memory | 0 | 0 | 9600 | 0.00 |
  78. | LUT as Distributed RAM | 0 | 0 | | |
  79. | LUT as Shift Register | 0 | 0 | | |
  80. | LUT Flip Flop Pairs | 0 | 0 | 20800 | 0.00 |
  81. | Unique Control Sets | 0 | | | |
  82. +--------------------------+------+-------+-----------+-------+
  83. * Note: Review the Control Sets Report for more information regarding control sets.
  84.  
  85.  
  86. 3. Memory
  87. ---------
  88.  
  89. +----------------+------+-------+-----------+-------+
  90. | Site Type | Used | Fixed | Available | Util% |
  91. +----------------+------+-------+-----------+-------+
  92. | Block RAM Tile | 0 | 0 | 50 | 0.00 |
  93. | RAMB36/FIFO* | 0 | 0 | 50 | 0.00 |
  94. | RAMB18 | 0 | 0 | 100 | 0.00 |
  95. +----------------+------+-------+-----------+-------+
  96. * Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1
  97.  
  98.  
  99. 4. DSP
  100. ------
  101.  
  102. +-----------+------+-------+-----------+-------+
  103. | Site Type | Used | Fixed | Available | Util% |
  104. +-----------+------+-------+-----------+-------+
  105. | DSPs | 0 | 0 | 90 | 0.00 |
  106. +-----------+------+-------+-----------+-------+
  107.  
  108.  
  109. 5. IO and GT Specific
  110. ---------------------
  111.  
  112. +-----------------------------+------+-------+-----------+-------+
  113. | Site Type | Used | Fixed | Available | Util% |
  114. +-----------------------------+------+-------+-----------+-------+
  115. | Bonded IOB | 6 | 6 | 106 | 5.66 |
  116. | IOB Master Pads | 2 | | | |
  117. | IOB Slave Pads | 4 | | | |
  118. | Bonded IPADs | 0 | 0 | 10 | 0.00 |
  119. | Bonded OPADs | 0 | 0 | 4 | 0.00 |
  120. | PHY_CONTROL | 0 | 0 | 5 | 0.00 |
  121. | PHASER_REF | 0 | 0 | 5 | 0.00 |
  122. | OUT_FIFO | 0 | 0 | 20 | 0.00 |
  123. | IN_FIFO | 0 | 0 | 20 | 0.00 |
  124. | IDELAYCTRL | 0 | 0 | 5 | 0.00 |
  125. | IBUFDS | 0 | 0 | 104 | 0.00 |
  126. | GTPE2_CHANNEL | 0 | 0 | 2 | 0.00 |
  127. | PHASER_OUT/PHASER_OUT_PHY | 0 | 0 | 20 | 0.00 |
  128. | PHASER_IN/PHASER_IN_PHY | 0 | 0 | 20 | 0.00 |
  129. | IDELAYE2/IDELAYE2_FINEDELAY | 0 | 0 | 250 | 0.00 |
  130. | IBUFDS_GTE2 | 0 | 0 | 2 | 0.00 |
  131. | ILOGIC | 0 | 0 | 106 | 0.00 |
  132. | OLOGIC | 0 | 0 | 106 | 0.00 |
  133. +-----------------------------+------+-------+-----------+-------+
  134.  
  135.  
  136. 6. Clocking
  137. -----------
  138.  
  139. +------------+------+-------+-----------+-------+
  140. | Site Type | Used | Fixed | Available | Util% |
  141. +------------+------+-------+-----------+-------+
  142. | BUFGCTRL | 0 | 0 | 32 | 0.00 |
  143. | BUFIO | 0 | 0 | 20 | 0.00 |
  144. | MMCME2_ADV | 0 | 0 | 5 | 0.00 |
  145. | PLLE2_ADV | 0 | 0 | 5 | 0.00 |
  146. | BUFMRCE | 0 | 0 | 10 | 0.00 |
  147. | BUFHCE | 0 | 0 | 72 | 0.00 |
  148. | BUFR | 0 | 0 | 20 | 0.00 |
  149. +------------+------+-------+-----------+-------+
  150.  
  151.  
  152. 7. Specific Feature
  153. -------------------
  154.  
  155. +-------------+------+-------+-----------+-------+
  156. | Site Type | Used | Fixed | Available | Util% |
  157. +-------------+------+-------+-----------+-------+
  158. | BSCANE2 | 0 | 0 | 4 | 0.00 |
  159. | CAPTUREE2 | 0 | 0 | 1 | 0.00 |
  160. | DNA_PORT | 0 | 0 | 1 | 0.00 |
  161. | EFUSE_USR | 0 | 0 | 1 | 0.00 |
  162. | FRAME_ECCE2 | 0 | 0 | 1 | 0.00 |
  163. | ICAPE2 | 0 | 0 | 2 | 0.00 |
  164. | PCIE_2_1 | 0 | 0 | 1 | 0.00 |
  165. | STARTUPE2 | 0 | 0 | 1 | 0.00 |
  166. | XADC | 0 | 0 | 1 | 0.00 |
  167. +-------------+------+-------+-----------+-------+
  168.  
  169.  
  170. 8. Primitives
  171. -------------
  172.  
  173. +----------+------+---------------------+
  174. | Ref Name | Used | Functional Category |
  175. +----------+------+---------------------+
  176. | IBUF | 4 | IO |
  177. | OBUF | 2 | IO |
  178. | LUT2 | 2 | LUT |
  179. +----------+------+---------------------+
  180.  
  181.  
  182. 9. Black Boxes
  183. --------------
  184.  
  185. +----------+------+
  186. | Ref Name | Used |
  187. +----------+------+
  188.  
  189.  
  190. 10. Instantiated Netlists
  191. -------------------------
  192.  
  193. +----------+------+
  194. | Ref Name | Used |
  195. +----------+------+
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement