Advertisement
symonhasan

Demux

Jan 21st, 2020
792
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. module multiplexer( x,y, a , b   );
  2.     input x , y;
  3.     output a , b;
  4.     assign a = ( y & (~x ) );
  5.     assign b = ( y & x );
  6. endmodule
  7.  
  8.  
  9. module Test;
  10.     reg x , y;
  11.     wire a , b;
  12.    
  13.     multiplexer uut(
  14.         .x( x ),.y( y ),
  15.         .a( a ),
  16.         .b( b )
  17.        
  18.     );
  19.     initial begin
  20.        // $dumpfile("adder4bit.vcd");
  21.         //$dumpvars(0,adder4b_test);
  22.         x = 0;
  23.         y = 0;
  24.         #10;
  25.          
  26.         x = 0;
  27.         y = 1;         
  28.         #10;
  29.        
  30.         x = 1;
  31.         y = 0;         
  32.         #10;
  33.        
  34.         x = 1;
  35.         y = 1;         
  36.         #10;
  37.          
  38.        
  39.        
  40.     end
  41.    
  42.     initial begin
  43.           $monitor("t=%4d X=%b, Y=%b , A=%b, B=%b\n",$time,x , y , a , b);
  44.          end
  45.    
  46.    
  47.    
  48. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement