Advertisement
Guest User

Untitled

a guest
Nov 20th, 2019
94
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 1.23 KB | None | 0 0
  1. library ieee;
  2. use ieee.std_logic_1164.all;
  3.  
  4. entity kombimreze_tb is
  5. end kombimreze_tb;
  6.  
  7. architecture kombimreze_tb of kombimreze_tb is
  8. signal iSW : std_logic_vector(7 downto 0);
  9. signal iINV : std_logic;
  10.  
  11. signal oLED : std_logic_vector(7 downto 0);
  12. signal oSIGN : std_logic;
  13. signal oGREAT : std_logic;
  14.  
  15.  
  16. component kombimreze
  17. port(
  18. iSW : in std_logic_vector(7 downto 0);
  19. iINV : in std_logic;
  20.  
  21. oLED : out std_logic_vector(7 downto 0);
  22. oSIGN : out std_logic;
  23. oGREAT : out std_logic
  24. );
  25. end component;
  26.  
  27. begin
  28.  
  29. uut : kombimreze port map (
  30. iSW => iSW,
  31. iINV => iINV,
  32. oLED => oLED,
  33. oSIGN => oSIGN,
  34. oGREAT => oGREAT
  35. );
  36.  
  37. stimulus : process
  38. begin
  39.  
  40. iSW <= "11110100";
  41. iINV <= '0';
  42. wait for 100 ns;
  43. iINV <= '1';
  44. wait for 100 ns;
  45.  
  46. iSW <= "01101001";
  47. iINV <= '1';
  48. wait for 100 ns;
  49. iINV <= '0';
  50. wait for 100 ns;
  51.  
  52. iSW <= "11011001";
  53. iINV <= '0';
  54. wait for 100 ns;
  55. iINV <= '1';
  56. wait for 100 ns;
  57.  
  58. iSW <= "10011001";
  59. iINV <= '0';
  60. wait for 100 ns;
  61. iINV <= '1';
  62.  
  63. iSW <= "10011101";
  64. iINV <= '0';
  65. wait for 100 ns;
  66. iINV <= '1';
  67.  
  68. iSW <= "11111111";
  69. iINV <= '0';
  70. wait for 100 ns;
  71. iINV <= '1';
  72.  
  73. wait;
  74.  
  75.  
  76. end process stimulus;
  77. end architecture;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement