Advertisement
Guest User

Untitled

a guest
Jan 16th, 2019
63
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 1.58 KB | None | 0 0
  1. library IEEE;
  2. use IEEE.std_logic_1164.all;
  3. entity permutacja is
  4. port (
  5. a : in std_logic_vector(64 downto 1); -- wejscie
  6. q : out std_logic_vector (64 downto 1) -- wyjscie
  7. );
  8. end permutacja;
  9. architecture permutacja of permutacja is
  10. begin
  11. q(1) <= a(10);
  12. q(2) <= a(15);
  13. q(3) <= a(18);
  14. q(4) <= a(31);
  15. q(5) <= a(26);
  16. q(6) <= a(47);
  17. q(7) <= a(34);
  18. q(8) <= a(63);
  19.  
  20. q(9) <= a(42);
  21. q(10) <= a(1);
  22. q(11) <= a(50);
  23. q(12) <= a(17);
  24. q(13) <= a(58);
  25. q(14) <= a(33);
  26. q(15) <= a(2);
  27. q(16) <= a(49);
  28.  
  29. q(17) <= a(12);
  30. q(18) <= a(3);
  31. q(19) <= a(20);
  32. q(20) <= a(19);
  33. q(21) <= a(28);
  34. q(22) <= a(35);
  35. q(23) <= a(36);
  36. q(24) <= a(51);
  37.  
  38. q(25) <= a(44);
  39. q(26) <= a(5);
  40. q(27) <= a(52);
  41. q(28) <= a(21);
  42. q(29) <= a(60);
  43. q(30) <= a(37);
  44. q(31) <= a(4);
  45. q(32) <= a(53);
  46.  
  47. q(33) <= a(14);
  48. q(34) <= a(7);
  49. q(35) <= a(22);
  50. q(36) <= a(23);
  51. q(37) <= a(30);
  52. q(38) <= a(39);
  53. q(39) <= a(38);
  54. q(40) <= a(55);
  55.  
  56. q(41) <= a(46);
  57. q(42) <= a(9);
  58. q(43) <= a(54);
  59. q(44) <= a(25);
  60. q(45) <= a(62);
  61. q(46) <= a(41);
  62. q(47) <= a(6);
  63. q(48) <= a(57);
  64.  
  65. q(49) <= a(16);
  66. q(50) <= a(11);
  67. q(51) <= a(24);
  68. q(52) <= a(27);
  69. q(53) <= a(32);
  70. q(54) <= a(43);
  71. q(55) <= a(40);
  72. q(56) <= a(59);
  73.  
  74. q(57) <= a(48);
  75. q(58) <= a(13);
  76. q(59) <= a(56);
  77. q(60) <= a(29);
  78. q(61) <= a(64);
  79. q(62) <= a(45);
  80. q(63) <= a(8);
  81. q(64) <= a(61);
  82. end permutacja;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement