Advertisement
Guest User

Untitled

a guest
Apr 24th, 2018
197
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 18.82 KB | None | 0 0
  1. --
  2. -- Definition of a single port ROM for KCPSM3 program defined by pico.psm
  3. --
  4. -- Generated by KCPSM3 Assembler 24Apr2018-12:09:14.
  5. --
  6. -- Standard IEEE libraries
  7. --
  8. library IEEE;
  9. use IEEE.STD_LOGIC_1164.ALL;
  10. use IEEE.STD_LOGIC_ARITH.ALL;
  11. use IEEE.STD_LOGIC_UNSIGNED.ALL;
  12. --
  13. -- The Unisim Library is used to define Xilinx primitives. It is also used during
  14. -- simulation. The source can be viewed at %XILINX%\vhdl\src\unisims\unisim_VCOMP.vhd
  15. --
  16. library unisim;
  17. use unisim.vcomponents.all;
  18. --
  19. --
  20. entity pico is
  21. Port ( address : in std_logic_vector(9 downto 0);
  22. instruction : out std_logic_vector(17 downto 0);
  23. clk : in std_logic);
  24. end pico;
  25. --
  26. architecture low_level_definition of pico is
  27. --
  28. -- Attributes to define ROM contents during implementation synthesis.
  29. -- The information is repeated in the generic map for functional simulation
  30. --
  31. attribute INIT_00 : string;
  32. attribute INIT_01 : string;
  33. attribute INIT_02 : string;
  34. attribute INIT_03 : string;
  35. attribute INIT_04 : string;
  36. attribute INIT_05 : string;
  37. attribute INIT_06 : string;
  38. attribute INIT_07 : string;
  39. attribute INIT_08 : string;
  40. attribute INIT_09 : string;
  41. attribute INIT_0A : string;
  42. attribute INIT_0B : string;
  43. attribute INIT_0C : string;
  44. attribute INIT_0D : string;
  45. attribute INIT_0E : string;
  46. attribute INIT_0F : string;
  47. attribute INIT_10 : string;
  48. attribute INIT_11 : string;
  49. attribute INIT_12 : string;
  50. attribute INIT_13 : string;
  51. attribute INIT_14 : string;
  52. attribute INIT_15 : string;
  53. attribute INIT_16 : string;
  54. attribute INIT_17 : string;
  55. attribute INIT_18 : string;
  56. attribute INIT_19 : string;
  57. attribute INIT_1A : string;
  58. attribute INIT_1B : string;
  59. attribute INIT_1C : string;
  60. attribute INIT_1D : string;
  61. attribute INIT_1E : string;
  62. attribute INIT_1F : string;
  63. attribute INIT_20 : string;
  64. attribute INIT_21 : string;
  65. attribute INIT_22 : string;
  66. attribute INIT_23 : string;
  67. attribute INIT_24 : string;
  68. attribute INIT_25 : string;
  69. attribute INIT_26 : string;
  70. attribute INIT_27 : string;
  71. attribute INIT_28 : string;
  72. attribute INIT_29 : string;
  73. attribute INIT_2A : string;
  74. attribute INIT_2B : string;
  75. attribute INIT_2C : string;
  76. attribute INIT_2D : string;
  77. attribute INIT_2E : string;
  78. attribute INIT_2F : string;
  79. attribute INIT_30 : string;
  80. attribute INIT_31 : string;
  81. attribute INIT_32 : string;
  82. attribute INIT_33 : string;
  83. attribute INIT_34 : string;
  84. attribute INIT_35 : string;
  85. attribute INIT_36 : string;
  86. attribute INIT_37 : string;
  87. attribute INIT_38 : string;
  88. attribute INIT_39 : string;
  89. attribute INIT_3A : string;
  90. attribute INIT_3B : string;
  91. attribute INIT_3C : string;
  92. attribute INIT_3D : string;
  93. attribute INIT_3E : string;
  94. attribute INIT_3F : string;
  95. attribute INITP_00 : string;
  96. attribute INITP_01 : string;
  97. attribute INITP_02 : string;
  98. attribute INITP_03 : string;
  99. attribute INITP_04 : string;
  100. attribute INITP_05 : string;
  101. attribute INITP_06 : string;
  102. attribute INITP_07 : string;
  103. --
  104. -- Attributes to define ROM contents during implementation synthesis.
  105. --
  106. attribute INIT_00 of ram_1024_x_18 : label is "270150122204A70F0707500D2708500D220842000701D880D660D550D440D330";
  107. attribute INIT_01 of ram_1024_x_18 : label is "50232704402718408401501E27024027183083015019270150272201070F5012";
  108. attribute INIT_02 of ram_1024_x_18 : label is "50332702403C1830C301502E2701503C22021860860150272708402718508501";
  109. attribute INIT_03 of ram_1024_x_18 : label is "09070907090719701860C601503C2708403C1850C50150382704403C1840C401";
  110. attribute INIT_04 of ram_1024_x_18 : label is "00000000000000000000000000000000000000004006C802D890A80FA9F00907";
  111. attribute INIT_05 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
  112. attribute INIT_06 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
  113. attribute INIT_07 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
  114. attribute INIT_08 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
  115. attribute INIT_09 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
  116. attribute INIT_0A of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
  117. attribute INIT_0B of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
  118. attribute INIT_0C of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
  119. attribute INIT_0D of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
  120. attribute INIT_0E of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
  121. attribute INIT_0F of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
  122. attribute INIT_10 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
  123. attribute INIT_11 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
  124. attribute INIT_12 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
  125. attribute INIT_13 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
  126. attribute INIT_14 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
  127. attribute INIT_15 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
  128. attribute INIT_16 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
  129. attribute INIT_17 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
  130. attribute INIT_18 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
  131. attribute INIT_19 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
  132. attribute INIT_1A of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
  133. attribute INIT_1B of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
  134. attribute INIT_1C of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
  135. attribute INIT_1D of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
  136. attribute INIT_1E of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
  137. attribute INIT_1F of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
  138. attribute INIT_20 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
  139. attribute INIT_21 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
  140. attribute INIT_22 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
  141. attribute INIT_23 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
  142. attribute INIT_24 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
  143. attribute INIT_25 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
  144. attribute INIT_26 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
  145. attribute INIT_27 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
  146. attribute INIT_28 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
  147. attribute INIT_29 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
  148. attribute INIT_2A of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
  149. attribute INIT_2B of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
  150. attribute INIT_2C of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
  151. attribute INIT_2D of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
  152. attribute INIT_2E of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
  153. attribute INIT_2F of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
  154. attribute INIT_30 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
  155. attribute INIT_31 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
  156. attribute INIT_32 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
  157. attribute INIT_33 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
  158. attribute INIT_34 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
  159. attribute INIT_35 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
  160. attribute INIT_36 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
  161. attribute INIT_37 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
  162. attribute INIT_38 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
  163. attribute INIT_39 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
  164. attribute INIT_3A of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
  165. attribute INIT_3B of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
  166. attribute INIT_3C of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
  167. attribute INIT_3D of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
  168. attribute INIT_3E of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
  169. attribute INIT_3F of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
  170. attribute INITP_00 of ram_1024_x_18 : label is "00000000000000000000000000000E02A81DC771DC774771DC771DDB74B74155";
  171. attribute INITP_01 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
  172. attribute INITP_02 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
  173. attribute INITP_03 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
  174. attribute INITP_04 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
  175. attribute INITP_05 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
  176. attribute INITP_06 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
  177. attribute INITP_07 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
  178. --
  179. begin
  180. --
  181. --Instantiate the Xilinx primitive for a block RAM
  182. ram_1024_x_18: RAMB16_S18
  183. --synthesis translate_off
  184. --INIT values repeated to define contents for functional simulation
  185. generic map ( INIT_00 => X"270150122204A70F0707500D2708500D220842000701D880D660D550D440D330",
  186. INIT_01 => X"50232704402718408401501E27024027183083015019270150272201070F5012",
  187. INIT_02 => X"50332702403C1830C301502E2701503C22021860860150272708402718508501",
  188. INIT_03 => X"09070907090719701860C601503C2708403C1850C50150382704403C1840C401",
  189. INIT_04 => X"00000000000000000000000000000000000000004006C802D890A80FA9F00907",
  190. INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
  191. INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
  192. INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
  193. INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
  194. INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
  195. INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
  196. INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
  197. INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
  198. INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
  199. INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
  200. INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
  201. INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
  202. INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
  203. INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
  204. INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
  205. INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
  206. INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
  207. INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
  208. INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
  209. INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
  210. INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
  211. INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
  212. INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
  213. INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
  214. INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
  215. INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
  216. INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
  217. INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
  218. INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
  219. INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
  220. INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
  221. INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
  222. INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
  223. INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
  224. INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
  225. INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
  226. INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
  227. INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
  228. INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
  229. INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
  230. INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
  231. INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
  232. INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
  233. INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
  234. INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
  235. INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
  236. INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
  237. INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
  238. INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
  239. INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
  240. INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
  241. INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
  242. INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
  243. INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
  244. INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
  245. INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
  246. INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
  247. INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
  248. INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000",
  249. INITP_00 => X"00000000000000000000000000000E02A81DC771DC774771DC771DDB74B74155",
  250. INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
  251. INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
  252. INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
  253. INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
  254. INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
  255. INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
  256. INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000")
  257. --synthesis translate_on
  258. port map( DI => "0000000000000000",
  259. DIP => "00",
  260. EN => '1',
  261. WE => '0',
  262. SSR => '0',
  263. CLK => clk,
  264. ADDR => address,
  265. DO => instruction(15 downto 0),
  266. DOP => instruction(17 downto 16));
  267. --
  268. end low_level_definition;
  269. --
  270. ------------------------------------------------------------------------------------
  271. --
  272. -- END OF FILE pico.vhd
  273. --
  274. ------------------------------------------------------------------------------------
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement