Advertisement
ZirconiumX

Untitled

Nov 5th, 2019
187
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 2.10 KB | None | 0 0
  1. synth_ice40 -abc9
  2.  
  3. === axilxbar ===
  4.  
  5. Number of wires: 5920
  6. Number of wire bits: 12443
  7. Number of public wires: 356
  8. Number of public wire bits: 5182
  9. Number of memories: 0
  10. Number of memory bits: 0
  11. Number of processes: 0
  12. Number of cells: 9092
  13. SB_CARRY 108
  14. SB_DFFE 44
  15. SB_DFFESR 1784
  16. SB_DFFESS 32
  17. SB_DFFSR 40
  18. SB_LUT4 7084
  19.  
  20. Lofty notes: even though this looks worse area-wise, ABC9 is delay-based, so this will likely be faster than normal ABC.
  21.  
  22. synth_ice40
  23.  
  24. === axilxbar ===
  25.  
  26. Number of wires: 7196
  27. Number of wire bits: 12166
  28. Number of public wires: 380
  29. Number of public wire bits: 5206
  30. Number of memories: 0
  31. Number of memory bits: 0
  32. Number of processes: 0
  33. Number of cells: 8800
  34. SB_CARRY 100
  35. SB_DFFE 44
  36. SB_DFFESR 1784
  37. SB_DFFESS 32
  38. SB_DFFSR 40
  39. SB_LUT4 6800
  40.  
  41. Lofty notes: ABC by itself uses a unit delay model, so it's effectively optimising purely for area here.
  42.  
  43. synth_ice40 -noabc
  44.  
  45. === axilxbar ===
  46.  
  47. Number of wires: 5314
  48. Number of wire bits: 22644
  49. Number of public wires: 466
  50. Number of public wire bits: 5762
  51. Number of memories: 0
  52. Number of memory bits: 0
  53. Number of processes: 0
  54. Number of cells: 10097
  55. SB_CARRY 100
  56. SB_DFFE 44
  57. SB_DFFESR 1784
  58. SB_DFFESS 32
  59. SB_DFFSR 40
  60. SB_LUT4 8097
  61.  
  62. Lofty notes: Here, Yosys is just techmapping gates to LUTs, and then trying to pack them together. It's pretty naive.
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement