Advertisement
Guest User

beat32_tb.v

a guest
Nov 14th, 2019
89
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.42 KB | None | 0 0
  1. module beat32_tb();
  2. reg clk;
  3. reg reset;
  4. wire hbeat;
  5. reg [15:0] curTime;
  6.  
  7. beat32 bt(.clk(clk), .reset(reset), .count_en(hbeat));
  8.  
  9. initial begin
  10. $monitor("time %d, reset %b, beat %b", curTime, reset, hbeat);
  11.  
  12. reset = 1'b1;
  13. #10;
  14. reset = 1'b0;
  15. #10;
  16.  
  17. #110;
  18. reset = 1'b1;
  19. #30;
  20.  
  21. $finish;
  22. end
  23.  
  24. initial begin
  25. clk = 1'b0;
  26. curTime = 16'd0;
  27.  
  28. forever begin
  29. #1 clk = ~clk;
  30. curTime = curTime + 1;
  31. end
  32. end
  33.  
  34. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement