Advertisement
Guest User

Untitled

a guest
Apr 25th, 2018
72
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.68 KB | None | 0 0
  1. module FSM_lab7_part1a(
  2. input w,clk,aclr,
  3. output reg z,
  4. output reg [8:0] y);
  5.  
  6. reg [8:0] d;
  7. always @(*) begin
  8. d[0] = ~aclr;
  9. d[1] = y[0] & ~w | y[5] & ~w | y[6] & ~w | y[7] & ~w | y[8] & ~w;
  10. d[2] = y[1] & ~w;
  11. d[3] = y[2] & ~w;
  12. d[4] = y[3] & ~w | y[4] & ~w;
  13. d[5] = y[0] & w | y[2] & w | y[3] & w | y[4] & w | y[5] & w;
  14. d[6] = y[5] & w;
  15. d[7] = y[6] & w;
  16. d[8] = y[7] & w | y[8] & w;
  17.  
  18. end
  19.  
  20. always @(*)
  21.  
  22. z = y[4] | y[8];
  23.  
  24. always @(posedge clk, negedge aclr)
  25.  
  26. if (~aclr)
  27.  
  28. begin y <= 0; y[0] <= 1'b1; end
  29. else y <= d;
  30.  
  31. endmodule
  32.  
  33. module zad1(
  34.  
  35. input [1:0] SW,
  36. input [1:0] KEY,
  37. output [9:0] LEDR);
  38.  
  39. FSM_lab7_part1a ex(SW[1],KEY[0],SW[0],LEDR[9],LEDR[8:0]);
  40.  
  41. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement