Advertisement
Not a member of Pastebin yet?
Sign Up,
it unlocks many cool features!
- #************************************************************
- # THIS IS A WIZARD-GENERATED FILE.
- #
- # Version 11.0 Build 157 04/27/2011 SJ Web Edition
- #
- #************************************************************
- # Copyright (C) 1991-2011 Altera Corporation
- # Your use of Altera Corporation's design tools, logic functions
- # and other software and tools, and its AMPP partner logic
- # functions, and any output files from any of the foregoing
- # (including device programming or simulation files), and any
- # associated documentation or information are expressly subject
- # to the terms and conditions of the Altera Program License
- # Subscription Agreement, Altera MegaCore Function License
- # Agreement, or other applicable license agreement, including,
- # without limitation, that your use is for the sole purpose of
- # programming logic devices manufactured by Altera and sold by
- # Altera or its authorized distributors. Please refer to the
- # applicable agreement for further details.
- # Clock constraints
- create_clock -name CLOCK_50 -period 10.000 [get_keepers {CLOCK_50}]
- # Automatically constrain PLL and other generated clocks
- derive_pll_clocks -create_base_clocks
- set_false_path -from [get_clocks {CLOCK_50}] -to [get_ports {GPIO_0[2] GPIO_0[3]}]
- # Automatically calculate clock uncertainty to jitter and other effects.
- #derive_clock_uncertainty
- # Not supported for family Cyclone II
- # tsu/th constraints
- # tco constraints
- # tpd constraints
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement