Advertisement
Guest User

Untitled

a guest
Oct 1st, 2017
94
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
TCL 1.50 KB | None | 0 0
  1. #************************************************************
  2. # THIS IS A WIZARD-GENERATED FILE.                          
  3. #
  4. # Version 11.0 Build 157 04/27/2011 SJ Web Edition
  5. #
  6. #************************************************************
  7.  
  8. # Copyright (C) 1991-2011 Altera Corporation
  9. # Your use of Altera Corporation's design tools, logic functions
  10. # and other software and tools, and its AMPP partner logic
  11. # functions, and any output files from any of the foregoing
  12. # (including device programming or simulation files), and any
  13. # associated documentation or information are expressly subject
  14. # to the terms and conditions of the Altera Program License
  15. # Subscription Agreement, Altera MegaCore Function License
  16. # Agreement, or other applicable license agreement, including,
  17. # without limitation, that your use is for the sole purpose of
  18. # programming logic devices manufactured by Altera and sold by
  19. # Altera or its authorized distributors.  Please refer to the
  20. # applicable agreement for further details.
  21.  
  22.  
  23.  
  24. # Clock constraints
  25.  
  26. create_clock -name CLOCK_50 -period 10.000 [get_keepers {CLOCK_50}]
  27.  
  28.  
  29. # Automatically constrain PLL and other generated clocks
  30. derive_pll_clocks -create_base_clocks
  31. set_false_path -from [get_clocks {CLOCK_50}] -to [get_ports {GPIO_0[2] GPIO_0[3]}]
  32.  
  33.  
  34. # Automatically calculate clock uncertainty to jitter and other effects.
  35. #derive_clock_uncertainty
  36. # Not supported for family Cyclone II
  37.  
  38. # tsu/th constraints
  39.  
  40. # tco constraints
  41.  
  42. # tpd constraints
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement