Advertisement
Guest User

Untitled

a guest
Mar 20th, 2019
56
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.49 KB | None | 0 0
  1. module D_latch (D, Clk, Q);
  2. input D, Clk;
  3. output reg Q;
  4. always @ (D, Clk)
  5. if (Clk)
  6. Q = D;
  7. endmodule
  8.  
  9.  
  10. module FDD_negedge(clk,D,Q);
  11.  
  12. input clk,D;
  13. output reg Q;
  14.  
  15. always @(negedge clk)
  16.  
  17.  
  18. Q = D;
  19.  
  20. endmodule
  21.  
  22.  
  23. module FDD_posedge(clk,D,Q);
  24.  
  25. input clk,D;
  26. output reg Q;
  27.  
  28. always @(posedge clk)
  29.  
  30.  
  31. Q = D;
  32.  
  33. endmodule
  34.  
  35. module latch_FDDP_FDDN(clk,D,Qa,Qb,Qc);
  36.  
  37. input clk,D;
  38. output Qa,Qb,Qc;
  39.  
  40. D_latch d1(D,clk,Qa);
  41. FDD_posedge d2(clk,D,Qb);
  42. FDD_negedge d3(clk,D,Qc);
  43.  
  44. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement