Advertisement
RuiViana

AKT

Jan 30th, 2017
278
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
C++ 1.41 KB | None | 0 0
  1. #include <EEPROM.h>
  2.  
  3. int ledpin1 = 10;
  4. int ledpin2 = 11;
  5.  
  6. int val;
  7. int val2;
  8. int val3;
  9. int addr = 0;
  10. int addr1 = 1;
  11. int addr2 = 2;
  12. byte Flag1 = 0;
  13. byte Flag2 = 0;
  14. //-----------------------------
  15. void setup()
  16. {
  17.   Serial.begin(9600);
  18.   pinMode(ledpin1, OUTPUT);
  19.   pinMode(ledpin2, OUTPUT);
  20.   delay(200);
  21.   Serial.println(EEPROM.read(addr));
  22.   val2 = EEPROM.read(addr1);
  23.   switch (val2)
  24.   {
  25.     case 1:
  26.       digitalWrite(ledpin1, HIGH);
  27.       break;
  28.     case 2:
  29.       digitalWrite(ledpin1, LOW);
  30.       break;
  31.   }
  32.   val3 = EEPROM.read(addr2);
  33.   switch (val3) {
  34.     case 3:
  35.       digitalWrite(ledpin2, HIGH);
  36.       break;
  37.     case 4:
  38.       digitalWrite(ledpin2, LOW);
  39.       break;
  40.   }
  41. }
  42. //-----------------------------
  43. void loop()
  44. {
  45.   if (Serial.available() > 0)
  46.   {
  47.     val = Serial.read();
  48.     if (val == 'l')
  49.     {
  50.       if (Flag1 == 0)
  51.       {
  52.         Flag1 = 1;
  53.         digitalWrite(ledpin1, HIGH);
  54.         EEPROM.write(addr1, 1);
  55.       }
  56.       else
  57.       {
  58.         Flag1 = 0;
  59.         digitalWrite(ledpin1, LOW);
  60.         EEPROM.write(addr1, 2);
  61.       }
  62.     }
  63.     if (val == 'a')
  64.     {
  65.       Serial.println(val);
  66.       if (Flag2 == 0)
  67.       {
  68.         Flag2 = 1;
  69.         digitalWrite(ledpin2, HIGH);
  70.         EEPROM.write(addr2, 3);
  71.       }
  72.       else
  73.       {
  74.         Flag2 = 0;
  75.         digitalWrite(ledpin2, LOW);
  76.         EEPROM.write(addr2, 4);
  77.       }
  78.     }
  79.   }
  80. }
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement