Advertisement
Guest User

Untitled

a guest
Apr 22nd, 2019
84
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.28 KB | None | 0 0
  1. module PWM(output reg data_out, input nreset, input clk, input [3:0]cmd);
  2. reg [3:0] num;
  3. always@(posedge clk) begin
  4.  
  5. if(nreset==0) begin
  6. data_out<=0;
  7. end
  8. else begin
  9.  
  10. num<=num+1;
  11. if(cmd<num)
  12. data_out<=0;
  13. else if(cmd==0)
  14. data_out<=0;
  15. else
  16. data_out<=1;
  17. end
  18.  
  19. end
  20. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement