Guest User

Untitled

a guest
Jul 31st, 2018
85
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VeriLog 86.58 KB | None | 0 0
  1. module Cube(
  2.     input clkosc,
  3.      input play_pause,
  4.      input forward_backward,
  5.      output  DIR, OE,
  6.     output [7:0] IC8row,
  7.      output [63:0] IC8column,
  8.      output reg [2:0] colorRGB_next
  9.     );
  10.      
  11.      localparam DVSR = 2000000;
  12.      wire tick;
  13.      wire [27:0] time_next;
  14.      reg [27:0] time_reg;
  15.      reg [9:0] count_next;
  16.      reg [9:0] count_reg;
  17.      reg [20:0] Q0,Q1,Q2,Q3,Q4,Q5,Q6,Q7;
  18.      reg [2:0] colorRGB_reg;
  19.      
  20.      assign DIR = 1;
  21.      assign OE = 0;
  22.      
  23.      always@(posedge clkosc)
  24.         begin
  25.             time_reg <= time_next;
  26.             count_reg <= count_next;
  27.             colorRGB_reg <= colorRGB_next;
  28.         end
  29.    
  30.      assign time_next = (time_reg == DVSR) ? 0 : time_reg + 1;
  31.     assign tick = (time_reg == DVSR) ? 1 : 0;
  32.      
  33.      always@*
  34.         begin
  35.             colorRGB_next = colorRGB_reg;
  36.             if(tick)
  37.                 begin
  38.                     if(colorRGB_reg == 7)
  39.                         colorRGB_next = 0;
  40.                     else
  41.                         colorRGB_next = colorRGB_reg + 1;
  42.                 end
  43.         end
  44.      
  45.      always@*
  46.         begin
  47.             count_next = count_reg;
  48.             if(play_pause == 0)
  49.                 begin
  50.                     if(tick)
  51.                         count_next = count_reg;
  52.                 end
  53.             else if(forward_backward == 0 && play_pause == 1)
  54.                 begin
  55.                     if(tick)
  56.                         count_next = count_reg - 1;
  57.                 end
  58.             else if(forward_backward == 1 && play_pause == 1)
  59.                 begin
  60.                     if(tick)
  61.                         count_next = count_reg + 1;
  62.                 end
  63.         end    
  64.            
  65.         always@*
  66.             begin  
  67.                 if(count_reg == 1)
  68.                     begin   Q0 = 1; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  69.                 else if(count_reg == 2)
  70.                     begin   Q0 = 0; Q1 = 1; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  71.                 else if(count_reg == 3)
  72.                     begin   Q0 = 0; Q1 = 0; Q2 = 1; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  73.                 else if(count_reg == 4)
  74.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 1; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  75.                 else if(count_reg == 5)
  76.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 1; Q5 = 0; Q6 = 0; Q7 = 0; end
  77.                 else if(count_reg == 6)
  78.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 1; Q6 = 0; Q7 = 0; end
  79.                 else if(count_reg == 7)
  80.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 1; Q7 = 0; end
  81.                 else if(count_reg == 8)
  82.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 1; end
  83.                 else if(count_reg == 9)
  84.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 1; Q7 = 0; end
  85.                 else if(count_reg == 10)
  86.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 1; Q6 = 0; Q7 = 0; end
  87.                 else if(count_reg == 11)
  88.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 1; Q5 = 0; Q6 = 0; Q7 = 0; end
  89.                 else if(count_reg == 12)
  90.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 1; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  91.                 else if(count_reg == 13)
  92.                     begin   Q0 = 0; Q1 = 0; Q2 = 1; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  93.                 else if(count_reg == 14)
  94.                     begin   Q0 = 0; Q1 = 1; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  95.                 else if(count_reg == 15)
  96.                     begin   Q0 = 1; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  97.                
  98.                 else if(count_reg == 16)
  99.                     begin   Q0 = 2; Q1 = 2; Q2 = 2; Q3 = 2; Q4 = 2; Q5 = 2; Q6 = 2; Q7 = 2; end
  100.                 else if(count_reg == 17)
  101.                     begin   Q0 = 3; Q1 = 3; Q2 = 3; Q3 = 3; Q4 = 3; Q5 = 3; Q6 = 3; Q7 = 3; end
  102.                 else if(count_reg == 18)
  103.                     begin   Q0 = 4; Q1 = 4; Q2 = 4; Q3 = 4; Q4 = 4; Q5 = 4; Q6 = 4; Q7 = 4; end
  104.                 else if(count_reg == 19)
  105.                     begin   Q0 = 5; Q1 = 5; Q2 = 5; Q3 = 5; Q4 = 5; Q5 = 5; Q6 = 5; Q7 = 5; end
  106.                 else if(count_reg == 20)
  107.                     begin   Q0 = 6; Q1 = 6; Q2 = 6; Q3 = 6; Q4 = 6; Q5 = 6; Q6 = 6; Q7 = 6; end
  108.                 else if(count_reg == 21)
  109.                     begin   Q0 = 7; Q1 = 7; Q2 = 7; Q3 = 7; Q4 = 7; Q5 = 7; Q6 = 7; Q7 = 7; end
  110.                 else if(count_reg == 22)
  111.                     begin   Q0 = 8; Q1 = 8; Q2 = 8; Q3 = 8; Q4 = 8; Q5 = 8; Q6 = 8; Q7 = 8; end
  112.                 else if(count_reg == 23)
  113.                     begin   Q0 = 9; Q1 = 9; Q2 = 9; Q3 = 9; Q4 = 9; Q5 = 9; Q6 = 9; Q7 = 9; end
  114.                 else if(count_reg == 24)
  115.                     begin   Q0 = 8; Q1 = 8; Q2 = 8; Q3 = 8; Q4 = 8; Q5 = 8; Q6 = 8; Q7 = 8; end
  116.                 else if(count_reg == 25)
  117.                     begin   Q0 = 7; Q1 = 7; Q2 = 7; Q3 = 7; Q4 = 7; Q5 = 7; Q6 = 7; Q7 = 7; end
  118.                 else if(count_reg == 26)
  119.                     begin   Q0 = 6; Q1 = 6; Q2 = 6; Q3 = 6; Q4 = 6; Q5 = 6; Q6 = 6; Q7 = 6; end
  120.                 else if(count_reg == 27)
  121.                     begin   Q0 = 5; Q1 = 5; Q2 = 5; Q3 = 5; Q4 = 5; Q5 = 5; Q6 = 5; Q7 = 5; end
  122.                 else if(count_reg == 28)
  123.                     begin   Q0 = 4; Q1 = 4; Q2 = 4; Q3 = 4; Q4 = 4; Q5 = 4; Q6 = 4; Q7 = 4; end
  124.                 else if(count_reg == 29)
  125.                     begin   Q0 = 3; Q1 = 3; Q2 = 3; Q3 = 3; Q4 = 3; Q5 = 3; Q6 = 3; Q7 = 3; end
  126.                 else if(count_reg == 30)
  127.                     begin   Q0 = 2; Q1 = 2; Q2 = 2; Q3 = 2; Q4 = 2; Q5 = 2; Q6 = 2; Q7 = 2; end    
  128.                
  129.                 else if(count_reg == 31)
  130.                     begin   Q0 = 10; Q1 = 10; Q2 = 10; Q3 = 10; Q4 = 10; Q5 = 10; Q6 = 10; Q7 = 10; end
  131.                 else if(count_reg == 32)
  132.                     begin   Q0 = 11; Q1 = 11; Q2 = 11; Q3 = 11; Q4 = 11; Q5 = 11; Q6 = 11; Q7 = 11; end
  133.                 else if(count_reg == 33)
  134.                     begin   Q0 = 12; Q1 = 12; Q2 = 12; Q3 = 12; Q4 = 12; Q5 = 12; Q6 = 12; Q7 = 12; end
  135.                 else if(count_reg == 34)
  136.                     begin   Q0 = 13; Q1 = 13; Q2 = 13; Q3 = 13; Q4 = 13; Q5 = 13; Q6 = 13; Q7 = 13; end
  137.                 else if(count_reg == 35)
  138.                     begin   Q0 = 14; Q1 = 14; Q2 = 14; Q3 = 14; Q4 = 14; Q5 = 14; Q6 = 14; Q7 = 14; end
  139.                 else if(count_reg == 36)
  140.                     begin   Q0 = 15; Q1 = 15; Q2 = 15; Q3 = 15; Q4 = 15; Q5 = 15; Q6 = 15; Q7 = 15; end
  141.                 else if(count_reg == 37)
  142.                     begin   Q0 = 16; Q1 = 16; Q2 = 16; Q3 = 16; Q4 = 16; Q5 = 16; Q6 = 16; Q7 = 16; end
  143.                 else if(count_reg == 38)
  144.                     begin   Q0 = 17; Q1 = 17; Q2 = 17; Q3 = 17; Q4 = 17; Q5 = 17; Q6 = 17; Q7 = 17; end
  145.                 else if(count_reg == 39)
  146.                     begin   Q0 = 16; Q1 = 16; Q2 = 16; Q3 = 16; Q4 = 16; Q5 = 16; Q6 = 16; Q7 = 16; end
  147.                 else if(count_reg == 40)
  148.                     begin   Q0 = 15; Q1 = 15; Q2 = 15; Q3 = 15; Q4 = 15; Q5 = 15; Q6 = 15; Q7 = 15; end
  149.                 else if(count_reg == 41)
  150.                     begin   Q0 = 14; Q1 = 14; Q2 = 14; Q3 = 14; Q4 = 14; Q5 = 14; Q6 = 14; Q7 = 14; end
  151.                 else if(count_reg == 42)
  152.                     begin   Q0 = 13; Q1 = 13; Q2 = 13; Q3 = 13; Q4 = 13; Q5 = 13; Q6 = 13; Q7 = 13; end
  153.                 else if(count_reg == 43)
  154.                     begin   Q0 = 12; Q1 = 12; Q2 = 12; Q3 = 12; Q4 = 12; Q5 = 12; Q6 = 12; Q7 = 12; end
  155.                 else if(count_reg == 44)
  156.                     begin   Q0 = 11; Q1 = 11; Q2 = 11; Q3 = 11; Q4 = 11; Q5 = 11; Q6 = 11; Q7 = 11; end
  157.                 else if(count_reg == 45)
  158.                     begin   Q0 = 10; Q1 = 10; Q2 = 10; Q3 = 10; Q4 = 10; Q5 = 10; Q6 = 10; Q7 = 10; end
  159.                    
  160.                 else if(count_reg == 46)
  161.                     begin   Q0 = 1; Q1 = 0; Q2 = 1; Q3 = 0; Q4 = 1; Q5 = 0; Q6 = 1; Q7 = 0; end
  162.                 else if(count_reg == 47)
  163.                     begin   Q0 = 0; Q1 = 1; Q2 = 0; Q3 = 1; Q4 = 0; Q5 = 1; Q6 = 0; Q7 = 1; end
  164.                 else if(count_reg == 48)
  165.                     begin   Q0 = 1; Q1 = 0; Q2 = 1; Q3 = 0; Q4 = 1; Q5 = 0; Q6 = 1; Q7 = 0; end
  166.                 else if(count_reg == 49)
  167.                     begin   Q0 = 0; Q1 = 1; Q2 = 0; Q3 = 1; Q4 = 0; Q5 = 1; Q6 = 0; Q7 = 1; end
  168.                 else if(count_reg == 50)
  169.                     begin   Q0 = 1; Q1 = 0; Q2 = 1; Q3 = 0; Q4 = 1; Q5 = 0; Q6 = 1; Q7 = 0; end
  170.                 else if(count_reg == 51)
  171.                     begin   Q0 = 0; Q1 = 1; Q2 = 0; Q3 = 1; Q4 = 0; Q5 = 1; Q6 = 0; Q7 = 1; end
  172.                 else if(count_reg == 52)
  173.                     begin   Q0 = 1; Q1 = 1; Q2 = 1; Q3 = 1; Q4 = 1; Q5 = 1; Q6 = 1; Q7 = 1; end
  174.                 else if(count_reg == 53)
  175.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  176.                 else if(count_reg == 54)
  177.                     begin   Q0 = 1; Q1 = 1; Q2 = 1; Q3 = 1; Q4 = 1; Q5 = 1; Q6 = 1; Q7 = 1; end
  178.                 else if(count_reg == 55)
  179.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  180.                 else if(count_reg == 56)
  181.                     begin   Q0 = 1; Q1 = 1; Q2 = 1; Q3 = 1; Q4 = 1; Q5 = 1; Q6 = 1; Q7 = 1; end
  182.                 else if(count_reg == 57)
  183.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  184.                
  185.                 else if(count_reg == 58)
  186.                     begin   Q0 = 2; Q1 = 3; Q2 = 4; Q3 = 5; Q4 = 6; Q5 = 7; Q6 = 8; Q7 = 9; end
  187.                 else if(count_reg == 59)
  188.                     begin   Q0 = 2; Q1 = 3; Q2 = 4; Q3 = 5; Q4 = 6; Q5 = 7; Q6 = 8; Q7 = 0; end
  189.                 else if(count_reg == 60)
  190.                     begin   Q0 = 2; Q1 = 3; Q2 = 4; Q3 = 5; Q4 = 6; Q5 = 7; Q6 = 0; Q7 = 0; end
  191.                 else if(count_reg == 61)
  192.                     begin   Q0 = 2; Q1 = 3; Q2 = 4; Q3 = 5; Q4 = 6; Q5 = 0; Q6 = 0; Q7 = 0; end
  193.                 else if(count_reg == 62)
  194.                     begin   Q0 = 2; Q1 = 3; Q2 = 4; Q3 = 5; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  195.                 else if(count_reg == 63)
  196.                     begin   Q0 = 2; Q1 = 3; Q2 = 4; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  197.                 else if(count_reg == 64)
  198.                     begin   Q0 = 2; Q1 = 3; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  199.                 else if(count_reg == 65)
  200.                     begin   Q0 = 2; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  201.                 else if(count_reg == 66)
  202.                     begin   Q0 = 2; Q1 = 3; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  203.                 else if(count_reg == 67)
  204.                     begin   Q0 = 2; Q1 = 3; Q2 = 4; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  205.                 else if(count_reg == 68)
  206.                     begin   Q0 = 2; Q1 = 3; Q2 = 4; Q3 = 5; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  207.                 else if(count_reg == 69)
  208.                     begin   Q0 = 2; Q1 = 3; Q2 = 4; Q3 = 5; Q4 = 6; Q5 = 0; Q6 = 0; Q7 = 0; end
  209.                 else if(count_reg == 70)
  210.                     begin   Q0 = 2; Q1 = 3; Q2 = 4; Q3 = 5; Q4 = 6; Q5 = 7; Q6 = 0; Q7 = 0; end
  211.                 else if(count_reg == 71)
  212.                     begin   Q0 = 2; Q1 = 3; Q2 = 4; Q3 = 5; Q4 = 6; Q5 = 7; Q6 = 8; Q7 = 0; end
  213.                 else if(count_reg == 72)
  214.                     begin   Q0 = 2; Q1 = 3; Q2 = 4; Q3 = 5; Q4 = 6; Q5 = 7; Q6 = 8; Q7 = 9; end
  215.                 else if(count_reg == 73)
  216.                     begin   Q0 = 2; Q1 = 3; Q2 = 4; Q3 = 5; Q4 = 6; Q5 = 7; Q6 = 8; Q7 = 9; end
  217.                 else if(count_reg == 74)
  218.                     begin   Q0 = 2; Q1 = 3; Q2 = 4; Q3 = 5; Q4 = 6; Q5 = 7; Q6 = 8; Q7 = 0; end
  219.                 else if(count_reg == 75)
  220.                     begin   Q0 = 2; Q1 = 3; Q2 = 4; Q3 = 5; Q4 = 6; Q5 = 7; Q6 = 0; Q7 = 0; end
  221.                 else if(count_reg == 76)
  222.                     begin   Q0 = 2; Q1 = 3; Q2 = 4; Q3 = 5; Q4 = 6; Q5 = 0; Q6 = 0; Q7 = 0; end
  223.                 else if(count_reg == 77)
  224.                     begin   Q0 = 2; Q1 = 3; Q2 = 4; Q3 = 5; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  225.                 else if(count_reg == 78)
  226.                     begin   Q0 = 2; Q1 = 3; Q2 = 4; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  227.                 else if(count_reg == 79)
  228.                     begin   Q0 = 2; Q1 = 3; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  229.                 else if(count_reg == 80)
  230.                     begin   Q0 = 2; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  231.                 else if(count_reg == 81)
  232.                     begin   Q0 = 2; Q1 = 3; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  233.                 else if(count_reg == 82)
  234.                     begin   Q0 = 2; Q1 = 3; Q2 = 4; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  235.                 else if(count_reg == 83)
  236.                     begin   Q0 = 2; Q1 = 3; Q2 = 4; Q3 = 5; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  237.                 else if(count_reg == 84)
  238.                     begin   Q0 = 2; Q1 = 3; Q2 = 4; Q3 = 5; Q4 = 6; Q5 = 0; Q6 = 0; Q7 = 0; end
  239.                 else if(count_reg == 85)
  240.                     begin   Q0 = 2; Q1 = 3; Q2 = 4; Q3 = 5; Q4 = 6; Q5 = 7; Q6 = 0; Q7 = 0; end
  241.                 else if(count_reg == 86)
  242.                     begin   Q0 = 2; Q1 = 3; Q2 = 4; Q3 = 5; Q4 = 6; Q5 = 7; Q6 = 8; Q7 = 0; end
  243.                 else if(count_reg == 87)
  244.                     begin   Q0 = 2; Q1 = 3; Q2 = 4; Q3 = 5; Q4 = 6; Q5 = 7; Q6 = 8; Q7 = 9; end
  245.                    
  246.                 else if(count_reg == 88)
  247.                     begin Q0 = 50; Q1 = 51; Q2 = 51; Q3 = 51; Q4 = 51; Q5 = 51; Q6 = 51; Q7 = 50; end
  248.                 else if(count_reg == 89)
  249.                     begin Q0 = 57; Q1 = 58; Q2 = 58; Q3 = 58; Q4 = 58; Q5 = 58; Q6 = 57; Q7 = 0; end   
  250.                 else if(count_reg == 90)
  251.                     begin Q0 = 59; Q1 = 60; Q2 = 60; Q3 = 60; Q4 = 60; Q5 = 59; Q6 = 0; Q7 = 0; end
  252.                 else if(count_reg == 91)
  253.                     begin Q0 = 61; Q1 = 62; Q2 = 62; Q3 = 62; Q4 = 61; Q5 = 0; Q6 = 0; Q7 = 0; end
  254.                 else if(count_reg == 92)
  255.                     begin Q0 = 63; Q1 = 64; Q2 = 64; Q3 = 63; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  256.                 else if(count_reg == 93)
  257.                     begin Q0 = 65; Q1 = 66; Q2 = 65; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  258.                 else if(count_reg == 94)
  259.                     begin Q0 = 67; Q1 = 67; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  260.                 else if(count_reg == 95)
  261.                     begin Q0 = 65; Q1 = 66; Q2 = 65; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  262.                 else if(count_reg == 96)
  263.                     begin Q0 = 63; Q1 = 64; Q2 = 64; Q3 = 63; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  264.                 else if(count_reg == 97)
  265.                     begin Q0 = 61; Q1 = 62; Q2 = 62; Q3 = 62; Q4 = 61; Q5 = 0; Q6 = 0; Q7 = 0; end
  266.                 else if(count_reg == 98)
  267.                     begin Q0 = 59; Q1 = 60; Q2 = 60; Q3 = 60; Q4 = 60; Q5 = 59; Q6 = 0; Q7 = 0; end
  268.                 else if(count_reg == 99)
  269.                     begin Q0 = 57; Q1 = 58; Q2 = 58; Q3 = 58; Q4 = 58; Q5 = 58; Q6 = 57; Q7 = 0; end   
  270.                 else if(count_reg == 100)
  271.                     begin Q0 = 50; Q1 = 51; Q2 = 51; Q3 = 51; Q4 = 51; Q5 = 51; Q6 = 51; Q7 = 50; end
  272.                    
  273.                 else if(count_reg == 101)
  274.                     begin Q0 = 69; Q1 = 70; Q2 = 70; Q3 = 70; Q4 = 70; Q5 = 70; Q6 = 69; Q7 = 0; end   
  275.                 else if(count_reg == 102)
  276.                     begin Q0 = 71; Q1 = 72; Q2 = 72; Q3 = 72; Q4 = 72; Q5 = 71; Q6 = 0; Q7 = 0; end
  277.                 else if(count_reg == 103)
  278.                     begin Q0 = 73; Q1 = 74; Q2 = 74; Q3 = 74; Q4 = 73; Q5 = 0; Q6 = 0; Q7 = 0; end
  279.                 else if(count_reg == 104)
  280.                     begin Q0 = 75; Q1 = 76; Q2 = 76; Q3 = 75; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  281.                 else if(count_reg == 105)
  282.                     begin Q0 = 77; Q1 = 78; Q2 = 77; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  283.                 else if(count_reg == 106)
  284.                     begin Q0 = 79; Q1 = 79; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  285.                 else if(count_reg == 107)
  286.                     begin Q0 = 77; Q1 = 78; Q2 = 77; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  287.                 else if(count_reg == 108)
  288.                     begin Q0 = 75; Q1 = 76; Q2 = 76; Q3 = 75; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  289.                 else if(count_reg == 109)
  290.                     begin Q0 = 73; Q1 = 74; Q2 = 74; Q3 = 74; Q4 = 73; Q5 = 0; Q6 = 0; Q7 = 0; end
  291.                 else if(count_reg == 110)
  292.                     begin Q0 = 71; Q1 = 72; Q2 = 72; Q3 = 72; Q4 = 72; Q5 = 71; Q6 = 0; Q7 = 0; end
  293.                 else if(count_reg == 111)
  294.                     begin Q0 = 69; Q1 = 70; Q2 = 70; Q3 = 70; Q4 = 70; Q5 = 70; Q6 = 69; Q7 = 0; end   
  295.                 else if(count_reg == 112)
  296.                     begin Q0 = 50; Q1 = 51; Q2 = 51; Q3 = 51; Q4 = 51; Q5 = 51; Q6 = 51; Q7 = 50; end
  297.                
  298.                 else if(count_reg == 113)
  299.                     begin Q0 = 0; Q1 = 81; Q2 = 82; Q3 = 82; Q4 = 82; Q5 = 82; Q6 = 82; Q7 = 81; end   
  300.                 else if(count_reg == 114)
  301.                     begin Q0 = 0; Q1 = 0; Q2 = 83; Q3 = 84; Q4 = 84; Q5 = 84; Q6 = 84; Q7 = 83; end
  302.                 else if(count_reg == 115)
  303.                     begin Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 85; Q4 = 86; Q5 = 86; Q6 = 86; Q7 = 85; end
  304.                 else if(count_reg == 116)
  305.                     begin Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 87; Q5 = 88; Q6 = 88; Q7 = 87; end
  306.                 else if(count_reg == 117)
  307.                     begin Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 89; Q6 = 90; Q7 = 89; end
  308.                 else if(count_reg == 118)
  309.                     begin Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 91; Q7 = 91; end
  310.                 else if(count_reg == 119)
  311.                     begin Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 89; Q6 = 90; Q7 = 89; end
  312.                 else if(count_reg == 120)
  313.                     begin Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 87; Q5 = 88; Q6 = 88; Q7 = 87; end
  314.                 else if(count_reg == 121)
  315.                     begin Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 85; Q4 = 86; Q5 = 86; Q6 = 86; Q7 = 85; end
  316.                 else if(count_reg == 122)
  317.                     begin Q0 = 0; Q1 = 0; Q2 = 83; Q3 = 84; Q4 = 84; Q5 = 84; Q6 = 84; Q7 = 83; end
  318.                 else if(count_reg == 123)
  319.                     begin Q0 = 0; Q1 = 81; Q2 = 82; Q3 = 82; Q4 = 82; Q5 = 82; Q6 = 82; Q7 = 81; end   
  320.                 else if(count_reg == 124)
  321.                     begin Q0 = 50; Q1 = 51; Q2 = 51; Q3 = 51; Q4 = 51; Q5 = 51; Q6 = 51; Q7 = 50; end
  322.                
  323.                 else if(count_reg == 125)
  324.                     begin Q0 = 0; Q1 = 93; Q2 = 94; Q3 = 94; Q4 = 94; Q5 = 94; Q6 = 94; Q7 = 93; end   
  325.                 else if(count_reg == 126)
  326.                     begin Q0 = 0; Q1 = 0; Q2 = 95; Q3 = 96; Q4 = 96; Q5 = 96; Q6 = 96; Q7 = 95; end
  327.                 else if(count_reg == 127)
  328.                     begin Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 97; Q4 = 98; Q5 = 98; Q6 = 98; Q7 = 97; end
  329.                 else if(count_reg == 128)
  330.                     begin Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 99; Q5 = 100; Q6 = 100; Q7 = 99; end
  331.                 else if(count_reg == 129)
  332.                     begin Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 101; Q6 = 102; Q7 = 101; end
  333.                 else if(count_reg == 130)
  334.                     begin Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 103; Q7 = 103; end
  335.                 else if(count_reg == 131)
  336.                     begin Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 101; Q6 = 102; Q7 = 101; end
  337.                 else if(count_reg == 132)
  338.                     begin Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 99; Q5 = 100; Q6 = 100; Q7 = 99; end
  339.                 else if(count_reg == 133)
  340.                     begin Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 97; Q4 = 98; Q5 = 98; Q6 = 98; Q7 = 97; end
  341.                 else if(count_reg == 134)
  342.                     begin Q0 = 0; Q1 = 0; Q2 = 95; Q3 = 96; Q4 = 96; Q5 = 96; Q6 = 96; Q7 = 95; end
  343.                 else if(count_reg == 135)
  344.                     begin Q0 = 0; Q1 = 93; Q2 = 94; Q3 = 94; Q4 = 94; Q5 = 94; Q6 = 94; Q7 = 93; end   
  345.                 else if(count_reg == 136)
  346.                     begin Q0 = 50; Q1 = 51; Q2 = 51; Q3 = 51; Q4 = 51; Q5 = 51; Q6 = 51; Q7 = 50; end
  347.                
  348.                 else if(count_reg == 137)
  349.                     begin   Q0 = 1; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  350.                 else if(count_reg == 138)
  351.                     begin   Q0 = 1; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  352.                 else if(count_reg == 139)
  353.                     begin   Q0 = 1; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  354.                 else if(count_reg == 140)
  355.                     begin   Q0 = 25; Q1 = 9; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  356.                 else if(count_reg == 141)
  357.                     begin   Q0 = 25; Q1 = 0; Q2 = 0; Q3 = 9; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  358.                 else if(count_reg == 142)
  359.                     begin   Q0 = 25; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 9; Q6 = 0; Q7 = 0; end
  360.                 else if(count_reg == 143)
  361.                     begin   Q0 = 25; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 9; end
  362.                 else if(count_reg == 144)
  363.                     begin   Q0 = 24; Q1 = 8; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 9; end
  364.                 else if(count_reg == 145)
  365.                     begin   Q0 = 24; Q1 = 0; Q2 = 0; Q3 = 8; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 9; end
  366.                 else if(count_reg == 146)
  367.                     begin   Q0 = 24; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 8; Q6 = 0; Q7 = 9; end
  368.                 else if(count_reg == 147)
  369.                     begin   Q0 = 24; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 26; end
  370.                 else if(count_reg == 148)
  371.                     begin   Q0 = 23; Q1 = 7; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 26; end
  372.                 else if(count_reg == 149)
  373.                     begin   Q0 = 23; Q1 = 0; Q2 = 0; Q3 = 7; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 26; end
  374.                 else if(count_reg == 150)
  375.                     begin   Q0 = 23; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 7; Q6 = 0; Q7 = 26; end
  376.                 else if(count_reg == 151)
  377.                     begin   Q0 = 23; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 27; end
  378.                 else if(count_reg == 152)
  379.                     begin   Q0 = 22; Q1 = 6; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 27; end
  380.                 else if(count_reg == 153)
  381.                     begin   Q0 = 22; Q1 = 0; Q2 = 0; Q3 = 6; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 27; end
  382.                 else if(count_reg == 154)
  383.                     begin   Q0 = 22; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 6; Q6 = 0; Q7 = 27; end
  384.                 else if(count_reg == 155)
  385.                     begin   Q0 = 22; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 28; end
  386.                 else if(count_reg == 156)
  387.                     begin   Q0 = 21; Q1 = 5; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 28; end
  388.                 else if(count_reg == 157)
  389.                     begin   Q0 = 21; Q1 = 0; Q2 = 0; Q3 = 5; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 28; end
  390.                 else if(count_reg == 158)
  391.                     begin   Q0 = 21; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 5; Q6 = 0; Q7 = 28; end
  392.                 else if(count_reg == 159)
  393.                     begin   Q0 = 21; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 29; end
  394.                 else if(count_reg == 160)
  395.                     begin   Q0 = 20; Q1 = 4; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 29; end
  396.                 else if(count_reg == 161)
  397.                     begin   Q0 = 20; Q1 = 0; Q2 = 0; Q3 = 4; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 29; end
  398.                 else if(count_reg == 162)
  399.                     begin   Q0 = 20; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 4; Q6 = 0; Q7 = 29; end
  400.                 else if(count_reg == 163)
  401.                     begin   Q0 = 20; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 30; end
  402.                 else if(count_reg == 164)
  403.                     begin   Q0 = 2; Q1 = 3; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 30; end
  404.                 else if(count_reg == 165)
  405.                     begin   Q0 = 2; Q1 = 0; Q2 = 0; Q3 = 3; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 30; end
  406.                 else if(count_reg == 166)
  407.                     begin   Q0 = 2; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 3; Q6 = 0; Q7 = 30; end
  408.                 else if(count_reg == 167)
  409.                     begin   Q0 = 2; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 31; end
  410.                 else if(count_reg == 168)
  411.                     begin   Q0 = 0; Q1 = 2; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 31; end
  412.                 else if(count_reg == 169)
  413.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 2; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 31; end
  414.                 else if(count_reg == 170)
  415.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 2; Q6 = 0; Q7 = 31; end
  416.                 else if(count_reg == 171)
  417.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 1; end
  418.                 else if(count_reg == 172)
  419.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 1; end
  420.                 else if(count_reg == 173)
  421.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 1; end
  422.                
  423.                 else if(count_reg == 174)
  424.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 404; end
  425.                 else if(count_reg == 175)
  426.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 404; Q7 = 0; end
  427.                 else if(count_reg == 176)
  428.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 404; Q6 = 0; Q7 = 0; end
  429.                 else if(count_reg == 177)
  430.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 404; Q5 = 0; Q6 = 0; Q7 = 0; end
  431.                 else if(count_reg == 178)
  432.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 404; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  433.                 else if(count_reg == 179)
  434.                     begin   Q0 = 0; Q1 = 0; Q2 = 404; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  435.                 else if(count_reg == 180)
  436.                     begin   Q0 = 405; Q1 = 406; Q2 = 407; Q3 = 405; Q4 = 406; Q5 = 0; Q6 = 0; Q7 = 0; end
  437.                 else if(count_reg == 181)
  438.                     begin   Q0 = 405; Q1 = 406; Q2 = 407; Q3 = 405; Q4 = 406; Q5 = 0; Q6 = 0; Q7 = 0; end
  439.                 else if(count_reg == 182)
  440.                     begin   Q0 = 0; Q1 = 405; Q2 = 406; Q3 = 407; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  441.                 else if(count_reg == 183)
  442.                     begin   Q0 = 0; Q1 = 405; Q2 = 406; Q3 = 407; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  443.                 else if(count_reg == 184)
  444.                     begin   Q0 = 0; Q1 = 0; Q2 = 405; Q3 = 407; Q4 = 406; Q5 = 0; Q6 = 0; Q7 = 0; end
  445.                 else if(count_reg == 185)
  446.                     begin   Q0 = 0; Q1 = 0; Q2 = 405; Q3 = 407; Q4 = 406; Q5 = 0; Q6 = 0; Q7 = 0; end
  447.                 else if(count_reg == 186)
  448.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 405; Q4 = 406; Q5 = 407; Q6 = 0; Q7 = 0; end
  449.                 else if(count_reg == 187)
  450.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 405; Q4 = 406; Q5 = 407; Q6 = 0; Q7 = 0; end
  451.                 else if(count_reg == 188)
  452.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 407; Q5 = 405; Q6 = 406; Q7 = 0; end
  453.                 else if(count_reg == 189)
  454.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 407; Q5 = 405; Q6 = 406; Q7 = 0; end
  455.                 else if(count_reg == 190)
  456.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 405; Q7 = 407; end
  457.                 else if(count_reg == 191)
  458.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 405; Q7 = 407; end
  459.            
  460.                 else if(count_reg == 192)
  461.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 400; end
  462.                 else if(count_reg == 193)
  463.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 400; Q7 = 0; end
  464.                 else if(count_reg == 194)
  465.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 400; Q6 = 0; Q7 = 0; end
  466.                 else if(count_reg == 195)
  467.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 400; Q5 = 0; Q6 = 0; Q7 = 0; end
  468.                 else if(count_reg == 196)
  469.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 400; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  470.                 else if(count_reg == 197)
  471.                     begin   Q0 = 0; Q1 = 0; Q2 = 400; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  472.                 else if(count_reg == 198)
  473.                     begin   Q0 = 401; Q1 = 402; Q2 = 403; Q3 = 401; Q4 = 402; Q5 = 0; Q6 = 0; Q7 = 0; end
  474.                 else if(count_reg == 199)
  475.                     begin   Q0 = 401; Q1 = 402; Q2 = 403; Q3 = 401; Q4 = 402; Q5 = 0; Q6 = 0; Q7 = 0; end
  476.                 else if(count_reg == 200)
  477.                     begin   Q0 = 0; Q1 = 401; Q2 = 402; Q3 = 403; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  478.                 else if(count_reg == 201)
  479.                     begin   Q0 = 0; Q1 = 401; Q2 = 402; Q3 = 403; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  480.                 else if(count_reg == 202)
  481.                     begin   Q0 = 0; Q1 = 0; Q2 = 401; Q3 = 403; Q4 = 402; Q5 = 0; Q6 = 0; Q7 = 0; end
  482.                 else if(count_reg == 203)
  483.                     begin   Q0 = 0; Q1 = 0; Q2 = 401; Q3 = 403; Q4 = 402; Q5 = 0; Q6 = 0; Q7 = 0; end
  484.                 else if(count_reg == 204)
  485.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 401; Q4 = 402; Q5 = 403; Q6 = 0; Q7 = 0; end
  486.                 else if(count_reg == 205)
  487.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 401; Q4 = 402; Q5 = 403; Q6 = 0; Q7 = 0; end
  488.                 else if(count_reg == 206)
  489.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 403; Q5 = 401; Q6 = 402; Q7 = 0; end
  490.                 else if(count_reg == 207)
  491.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 403; Q5 = 401; Q6 = 402; Q7 = 0; end
  492.                 else if(count_reg == 208)
  493.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 401; Q7 = 403; end
  494.                 else if(count_reg == 209)
  495.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 401; Q7 = 403; end
  496.            
  497.                 else if(count_reg == 210)
  498.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 404; end
  499.                 else if(count_reg == 211)
  500.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 404; Q7 = 0; end
  501.                 else if(count_reg == 212)
  502.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 404; Q6 = 0; Q7 = 0; end
  503.                 else if(count_reg == 213)
  504.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 404; Q5 = 0; Q6 = 0; Q7 = 0; end
  505.                 else if(count_reg == 214)
  506.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 404; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  507.                 else if(count_reg == 215)
  508.                     begin   Q0 = 0; Q1 = 0; Q2 = 404; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  509.                 else if(count_reg == 216)
  510.                     begin   Q0 = 405; Q1 = 406; Q2 = 407; Q3 = 405; Q4 = 406; Q5 = 0; Q6 = 0; Q7 = 0; end
  511.                 else if(count_reg == 217)
  512.                     begin   Q0 = 405; Q1 = 406; Q2 = 407; Q3 = 405; Q4 = 406; Q5 = 0; Q6 = 0; Q7 = 0; end
  513.                 else if(count_reg == 218)
  514.                     begin   Q0 = 0; Q1 = 405; Q2 = 406; Q3 = 407; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  515.                 else if(count_reg == 219)
  516.                     begin   Q0 = 0; Q1 = 405; Q2 = 406; Q3 = 407; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  517.                 else if(count_reg == 220)
  518.                     begin   Q0 = 0; Q1 = 0; Q2 = 405; Q3 = 407; Q4 = 406; Q5 = 0; Q6 = 0; Q7 = 0; end
  519.                 else if(count_reg == 221)
  520.                     begin   Q0 = 0; Q1 = 0; Q2 = 405; Q3 = 407; Q4 = 406; Q5 = 0; Q6 = 0; Q7 = 0; end
  521.                 else if(count_reg == 222)
  522.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 405; Q4 = 406; Q5 = 407; Q6 = 0; Q7 = 0; end
  523.                 else if(count_reg == 223)
  524.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 405; Q4 = 406; Q5 = 407; Q6 = 0; Q7 = 0; end
  525.                 else if(count_reg == 224)
  526.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 407; Q5 = 405; Q6 = 406; Q7 = 0; end
  527.                 else if(count_reg == 225)
  528.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 407; Q5 = 405; Q6 = 406; Q7 = 0; end
  529.                 else if(count_reg == 226)
  530.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 405; Q7 = 407; end
  531.                 else if(count_reg == 227)
  532.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 405; Q7 = 407; end
  533.            
  534.                 else if(count_reg == 228)
  535.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 150; Q6 = 0; Q7 = 0; end
  536.                 else if(count_reg == 229)
  537.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 150; Q6 = 0; Q7 = 0; end
  538.                 else if(count_reg == 230)
  539.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 150; Q6 = 0; Q7 = 0; end  
  540.                 else if(count_reg == 231)
  541.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 151; Q6 = 0; Q7 = 0; end
  542.                 else if(count_reg == 232)
  543.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 151; Q6 = 0; Q7 = 0; end
  544.                 else if(count_reg == 233)
  545.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 151; Q6 = 0; Q7 = 0; end  
  546.                 else if(count_reg == 234)
  547.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 152; Q6 = 0; Q7 = 0; end
  548.                 else if(count_reg == 235)
  549.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 152; Q6 = 0; Q7 = 0; end
  550.                 else if(count_reg == 236)
  551.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 152; Q6 = 0; Q7 = 0; end  
  552.                 else if(count_reg == 237)
  553.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 153; Q6 = 0; Q7 = 0; end
  554.                 else if(count_reg == 238)
  555.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 153; Q6 = 0; Q7 = 0; end
  556.                 else if(count_reg == 239)
  557.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 153; Q6 = 0; Q7 = 0; end
  558.                 else if(count_reg == 240)
  559.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 154; Q6 = 0; Q7 = 0; end
  560.                 else if(count_reg == 241)
  561.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 154; Q6 = 0; Q7 = 0; end
  562.                 else if(count_reg == 242)
  563.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 154; Q6 = 0; Q7 = 0; end
  564.                 else if(count_reg == 243)
  565.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 155; Q6 = 0; Q7 = 0; end
  566.                 else if(count_reg == 244)
  567.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 155; Q6 = 0; Q7 = 0; end
  568.                 else if(count_reg == 245)
  569.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 155; Q6 = 0; Q7 = 0; end
  570.                 else if(count_reg == 246)
  571.                     begin   Q0 = 156; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 155; Q6 = 0; Q7 = 0; end
  572.                 else if(count_reg == 247)
  573.                     begin   Q0 = 156; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 155; Q6 = 0; Q7 = 0; end
  574.                 else if(count_reg == 248)
  575.                     begin   Q0 = 156; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 155; Q6 = 0; Q7 = 0; end
  576.                 else if(count_reg == 249)
  577.                     begin   Q0 = 156; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 156; Q5 = 157; Q6 = 0; Q7 = 0; end
  578.                 else if(count_reg == 250)
  579.                     begin   Q0 = 156; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 156; Q5 = 157; Q6 = 0; Q7 = 0; end
  580.                 else if(count_reg == 251)
  581.                     begin   Q0 = 156; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 156; Q5 = 157; Q6 = 0; Q7 = 0; end
  582.                 else if(count_reg == 252)
  583.                     begin   Q0 = 156; Q1 = 0; Q2 = 0; Q3 = 156; Q4 = 156; Q5 = 158; Q6 = 0; Q7 = 0; end
  584.                 else if(count_reg == 253)
  585.                     begin   Q0 = 156; Q1 = 0; Q2 = 0; Q3 = 156; Q4 = 156; Q5 = 158; Q6 = 0; Q7 = 0; end
  586.                 else if(count_reg == 254)
  587.                     begin   Q0 = 156; Q1 = 0; Q2 = 0; Q3 = 156; Q4 = 156; Q5 = 158; Q6 = 0; Q7 = 0; end
  588.                 else if(count_reg == 255)
  589.                     begin   Q0 = 156; Q1 = 0; Q2 = 156; Q3 = 156; Q4 = 156; Q5 = 156; Q6 = 0; Q7 = 0; end
  590.                 else if(count_reg == 256)
  591.                     begin   Q0 = 156; Q1 = 0; Q2 = 156; Q3 = 156; Q4 = 156; Q5 = 156; Q6 = 0; Q7 = 0; end
  592.                 else if(count_reg == 257)
  593.                     begin   Q0 = 156; Q1 = 0; Q2 = 156; Q3 = 156; Q4 = 156; Q5 = 156; Q6 = 0; Q7 = 0; end
  594.                 else if(count_reg == 258)
  595.                     begin   Q0 = 156; Q1 = 156; Q2 = 156; Q3 = 156; Q4 = 156; Q5 = 0; Q6 = 0; Q7 = 0; end
  596.                 else if(count_reg == 259)
  597.                     begin   Q0 = 156; Q1 = 156; Q2 = 156; Q3 = 156; Q4 = 156; Q5 = 0; Q6 = 0; Q7 = 0; end
  598.                 else if(count_reg == 260)
  599.                     begin   Q0 = 156; Q1 = 156; Q2 = 156; Q3 = 156; Q4 = 156; Q5 = 0; Q6 = 0; Q7 = 0; end                  
  600.                 else if(count_reg == 261)
  601.                     begin   Q0 = 159; Q1 = 156; Q2 = 156; Q3 = 156; Q4 = 156; Q5 = 0; Q6 = 0; Q7 = 0; end
  602.                 else if(count_reg == 262)
  603.                     begin   Q0 = 159; Q1 = 156; Q2 = 156; Q3 = 156; Q4 = 156; Q5 = 0; Q6 = 0; Q7 = 0; end
  604.                 else if(count_reg == 263)
  605.                     begin   Q0 = 159; Q1 = 156; Q2 = 156; Q3 = 156; Q4 = 156; Q5 = 0; Q6 = 0; Q7 = 0; end  
  606.                 else if(count_reg == 264)
  607.                     begin   Q0 = 160; Q1 = 156; Q2 = 156; Q3 = 156; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  608.                 else if(count_reg == 265)
  609.                     begin   Q0 = 160; Q1 = 156; Q2 = 156; Q3 = 156; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  610.                 else if(count_reg == 266)
  611.                     begin   Q0 = 160; Q1 = 156; Q2 = 156; Q3 = 156; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  612.                 else if(count_reg == 267)
  613.                     begin   Q0 = 161; Q1 = 156; Q2 = 156; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  614.                 else if(count_reg == 268)
  615.                     begin   Q0 = 161; Q1 = 156; Q2 = 156; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  616.                 else if(count_reg == 269)
  617.                     begin   Q0 = 161; Q1 = 156; Q2 = 156; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  618.                 else if(count_reg == 270)
  619.                     begin   Q0 = 162; Q1 = 156; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  620.                 else if(count_reg == 271)
  621.                     begin   Q0 = 162; Q1 = 156; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  622.                 else if(count_reg == 272)
  623.                     begin   Q0 = 162; Q1 = 156; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  624.                 else if(count_reg == 273)
  625.                     begin   Q0 = 163; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  626.                 else if(count_reg == 274)
  627.                     begin   Q0 = 163; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  628.                 else if(count_reg == 275)
  629.                     begin   Q0 = 163; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end  
  630.                 else if(count_reg == 276)
  631.                     begin   Q0 = 164; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  632.                 else if(count_reg == 277)
  633.                     begin   Q0 = 164; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  634.                 else if(count_reg == 278)
  635.                     begin   Q0 = 164; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end  
  636.                 else if(count_reg == 279)
  637.                     begin   Q0 = 165; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  638.                 else if(count_reg == 280)
  639.                     begin   Q0 = 165; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  640.                 else if(count_reg == 281)
  641.                     begin   Q0 = 165; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  642.                 else if(count_reg == 282)
  643.                     begin   Q0 = 166; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  644.                 else if(count_reg == 283)
  645.                     begin   Q0 = 166; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  646.                 else if(count_reg == 284)
  647.                     begin   Q0 = 166; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end  
  648.                 else if(count_reg == 285)
  649.                     begin   Q0 = 166; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 167; Q5 = 0; Q6 = 0; Q7 = 0; end
  650.                 else if(count_reg == 286)
  651.                     begin   Q0 = 166; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 167; Q5 = 0; Q6 = 0; Q7 = 0; end
  652.                 else if(count_reg == 287)
  653.                     begin   Q0 = 166; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 167; Q5 = 0; Q6 = 0; Q7 = 0; end
  654.                 else if(count_reg == 288)
  655.                     begin   Q0 = 1666; Q1 = 168; Q2 = 0; Q3 = 0; Q4 = 167; Q5 = 0; Q6 = 0; Q7 = 0; end
  656.                 else if(count_reg == 289)
  657.                     begin   Q0 = 1666; Q1 = 168; Q2 = 0; Q3 = 0; Q4 = 167; Q5 = 0; Q6 = 0; Q7 = 0; end
  658.                 else if(count_reg == 290)
  659.                     begin   Q0 = 1666; Q1 = 168; Q2 = 0; Q3 = 0; Q4 = 167; Q5 = 0; Q6 = 0; Q7 = 0; end
  660.                 else if(count_reg == 291)
  661.                     begin   Q0 = 169; Q1 = 168; Q2 = 168; Q3 = 0; Q4 = 167; Q5 = 0; Q6 = 0; Q7 = 0; end
  662.                 else if(count_reg == 292)
  663.                     begin   Q0 = 169; Q1 = 168; Q2 = 168; Q3 = 0; Q4 = 167; Q5 = 0; Q6 = 0; Q7 = 0; end
  664.                 else if(count_reg == 293)
  665.                     begin   Q0 = 169; Q1 = 168; Q2 = 168; Q3 = 0; Q4 = 167; Q5 = 0; Q6 = 0; Q7 = 0; end
  666.                 else if(count_reg == 294)
  667.                     begin   Q0 = 170; Q1 = 168; Q2 = 168; Q3 = 168; Q4 = 167; Q5 = 0; Q6 = 0; Q7 = 0; end
  668.                 else if(count_reg == 295)
  669.                     begin   Q0 = 170; Q1 = 168; Q2 = 168; Q3 = 168; Q4 = 167; Q5 = 0; Q6 = 0; Q7 = 0; end
  670.                 else if(count_reg == 296)
  671.                     begin   Q0 = 170; Q1 = 168; Q2 = 168; Q3 = 168; Q4 = 167; Q5 = 0; Q6 = 0; Q7 = 0; end
  672.                 else if(count_reg == 297)
  673.                     begin   Q0 = 171; Q1 = 168; Q2 = 168; Q3 = 168; Q4 = 172; Q5 = 0; Q6 = 0; Q7 = 0; end
  674.                 else if(count_reg == 298)
  675.                     begin   Q0 = 171; Q1 = 168; Q2 = 168; Q3 = 168; Q4 = 172; Q5 = 0; Q6 = 0; Q7 = 0; end
  676.                 else if(count_reg == 299)
  677.                     begin   Q0 = 171; Q1 = 168; Q2 = 168; Q3 = 168; Q4 = 172; Q5 = 0; Q6 = 0; Q7 = 0; end
  678.                 else if(count_reg == 300)
  679.                     begin   Q0 = 168; Q1 = 168; Q2 = 168; Q3 = 168; Q4 = 173; Q5 = 0; Q6 = 0; Q7 = 0; end
  680.                 else if(count_reg == 301)
  681.                     begin   Q0 = 168; Q1 = 168; Q2 = 168; Q3 = 168; Q4 = 173; Q5 = 0; Q6 = 0; Q7 = 0; end
  682.                 else if(count_reg == 302)
  683.                     begin   Q0 = 168; Q1 = 168; Q2 = 168; Q3 = 168; Q4 = 173; Q5 = 0; Q6 = 0; Q7 = 0; end
  684.                 else if(count_reg == 303)
  685.                     begin   Q0 = 0; Q1 = 168; Q2 = 168; Q3 = 168; Q4 = 174; Q5 = 0; Q6 = 0; Q7 = 0; end
  686.                 else if(count_reg == 304)
  687.                     begin   Q0 = 0; Q1 = 168; Q2 = 168; Q3 = 168; Q4 = 174; Q5 = 0; Q6 = 0; Q7 = 0; end
  688.                 else if(count_reg == 305)
  689.                     begin   Q0 = 0; Q1 = 168; Q2 = 168; Q3 = 168; Q4 = 174; Q5 = 0; Q6 = 0; Q7 = 0; end
  690.                 else if(count_reg == 306)
  691.                     begin   Q0 = 0; Q1 = 0; Q2 = 168; Q3 = 168; Q4 = 175; Q5 = 0; Q6 = 0; Q7 = 0; end
  692.                 else if(count_reg == 307)
  693.                     begin   Q0 = 0; Q1 = 0; Q2 = 168; Q3 = 168; Q4 = 175; Q5 = 0; Q6 = 0; Q7 = 0; end
  694.                 else if(count_reg == 308)
  695.                     begin   Q0 = 0; Q1 = 0; Q2 = 168; Q3 = 168; Q4 = 175; Q5 = 0; Q6 = 0; Q7 = 0; end
  696.                 else if(count_reg == 309)
  697.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 168; Q4 = 176; Q5 = 0; Q6 = 0; Q7 = 0; end
  698.                 else if(count_reg == 310)
  699.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 168; Q4 = 176; Q5 = 0; Q6 = 0; Q7 = 0; end
  700.                 else if(count_reg == 311)
  701.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 168; Q4 = 176; Q5 = 0; Q6 = 0; Q7 = 0; end
  702.                 else if(count_reg == 312)
  703.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 168; Q4 = 177; Q5 = 0; Q6 = 0; Q7 = 0; end
  704.                 else if(count_reg == 313)
  705.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 168; Q4 = 177; Q5 = 0; Q6 = 0; Q7 = 0; end
  706.                 else if(count_reg == 314)
  707.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 168; Q4 = 177; Q5 = 0; Q6 = 0; Q7 = 0; end
  708.                 else if(count_reg == 315)
  709.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 178; Q5 = 0; Q6 = 0; Q7 = 0; end
  710.                 else if(count_reg == 316)
  711.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 178; Q5 = 0; Q6 = 0; Q7 = 0; end
  712.                 else if(count_reg == 317)
  713.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 178; Q5 = 0; Q6 = 0; Q7 = 0; end  
  714.                 else if(count_reg == 318)
  715.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 179; Q5 = 0; Q6 = 0; Q7 = 0; end
  716.                 else if(count_reg == 319)
  717.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 179; Q5 = 0; Q6 = 0; Q7 = 0; end
  718.                 else if(count_reg == 320)
  719.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 179; Q5 = 0; Q6 = 0; Q7 = 0; end
  720.                 else if(count_reg == 321)
  721.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 180; Q5 = 0; Q6 = 0; Q7 = 0; end
  722.                 else if(count_reg == 322)
  723.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 180; Q5 = 0; Q6 = 0; Q7 = 0; end
  724.                 else if(count_reg == 323)
  725.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 180; Q5 = 0; Q6 = 0; Q7 = 0; end  
  726.                 else if(count_reg == 324)
  727.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 180; Q5 = 0; Q6 = 0; Q7 = 181; end
  728.                 else if(count_reg == 325)
  729.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 180; Q5 = 0; Q6 = 0; Q7 = 181; end
  730.                 else if(count_reg == 326)
  731.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 180; Q5 = 0; Q6 = 0; Q7 = 181; end
  732.                 else if(count_reg == 327)
  733.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 182; Q5 = 181; Q6 = 0; Q7 = 181; end
  734.                 else if(count_reg == 328)
  735.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 182; Q5 = 181; Q6 = 0; Q7 = 181; end
  736.                 else if(count_reg == 329)
  737.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 182; Q5 = 181; Q6 = 0; Q7 = 181; end  
  738.                 else if(count_reg == 330)
  739.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 183; Q5 = 181; Q6 = 181; Q7 = 181; end
  740.                 else if(count_reg == 331)
  741.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 183; Q5 = 181; Q6 = 181; Q7 = 181; end
  742.                 else if(count_reg == 332)
  743.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 183; Q5 = 181; Q6 = 181; Q7 = 181; end
  744.                 else if(count_reg == 333)
  745.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 184; Q5 = 181; Q6 = 181; Q7 = 185; end
  746.                 else if(count_reg == 334)
  747.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 184; Q5 = 181; Q6 = 181; Q7 = 185; end
  748.                 else if(count_reg == 335)
  749.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 184; Q5 = 181; Q6 = 181; Q7 = 185; end
  750.                 else if(count_reg == 336)
  751.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 186; Q5 = 181; Q6 = 181; Q7 = 187; end
  752.                 else if(count_reg == 337)
  753.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 186; Q5 = 181; Q6 = 181; Q7 = 187; end
  754.                 else if(count_reg == 338)
  755.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 186; Q5 = 181; Q6 = 181; Q7 = 187; end
  756.                 else if(count_reg == 339)
  757.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 188; Q5 = 181; Q6 = 181; Q7 = 189; end
  758.                 else if(count_reg == 340)
  759.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 188; Q5 = 181; Q6 = 181; Q7 = 189; end
  760.                 else if(count_reg == 341)
  761.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 188; Q5 = 181; Q6 = 181; Q7 = 189; end
  762.                 else if(count_reg == 342)
  763.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 181; Q5 = 181; Q6 = 181; Q7 = 190; end
  764.                 else if(count_reg == 343)
  765.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 181; Q5 = 181; Q6 = 181; Q7 = 190; end
  766.                 else if(count_reg == 344)
  767.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 181; Q6 = 181; Q7 = 191; end
  768.                 else if(count_reg == 345)
  769.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 181; Q6 = 181; Q7 = 191; end
  770.                 else if(count_reg == 346)
  771.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 181; Q7 = 192; end
  772.                 else if(count_reg == 347)
  773.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 181; Q7 = 192; end
  774.                 else if(count_reg == 348)
  775.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 9; end
  776.                 else if(count_reg == 349)
  777.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 9; end
  778.        
  779.                 else if(count_reg == 350)
  780.                     begin   Q0 = 50; Q1 = 51; Q2 = 51; Q3 = 51; Q4 = 51; Q5 = 51; Q6 = 51; Q7 = 50; end
  781.                 else if(count_reg == 351)
  782.                     begin   Q0 = 50; Q1 = 51; Q2 = 51; Q3 = 51; Q4 = 51; Q5 = 51; Q6 = 51; Q7 = 50; end
  783.                 else if(count_reg == 352)
  784.                     begin   Q0 = 0; Q1 = 52; Q2 = 53; Q3 = 53; Q4 = 53; Q5 = 53; Q6 = 52; Q7 = 0; end
  785.                 else if(count_reg == 353)
  786.                     begin   Q0 = 0; Q1 = 52; Q2 = 53; Q3 = 53; Q4 = 53; Q5 = 53; Q6 = 52; Q7 = 0; end
  787.                 else if(count_reg == 354)
  788.                     begin   Q0 = 0; Q1 = 0; Q2 = 54; Q3 = 55; Q4 = 55; Q5 = 54; Q6 = 0; Q7 = 0; end
  789.                 else if(count_reg == 355)
  790.                     begin   Q0 = 0; Q1 = 0; Q2 = 54; Q3 = 55; Q4 = 55; Q5 = 54; Q6 = 0; Q7 = 0; end
  791.                 else if(count_reg == 356)
  792.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 56; Q4 = 56; Q5 = 0; Q6 = 0; Q7 = 0; end
  793.                 else if(count_reg == 357)
  794.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 56; Q4 = 56; Q5 = 0; Q6 = 0; Q7 = 0; end
  795.                 else if(count_reg == 358)
  796.                     begin   Q0 = 0; Q1 = 0; Q2 = 54; Q3 = 55; Q4 = 55; Q5 = 54; Q6 = 0; Q7 = 0; end
  797.                 else if(count_reg == 359)
  798.                     begin   Q0 = 0; Q1 = 0; Q2 = 54; Q3 = 55; Q4 = 55; Q5 = 54; Q6 = 0; Q7 = 0; end
  799.                 else if(count_reg == 360)
  800.                     begin   Q0 = 0; Q1 = 52; Q2 = 53; Q3 = 53; Q4 = 53; Q5 = 53; Q6 = 52; Q7 = 0; end
  801.                 else if(count_reg == 361)
  802.                     begin   Q0 = 0; Q1 = 52; Q2 = 53; Q3 = 53; Q4 = 53; Q5 = 53; Q6 = 52; Q7 = 0; end
  803.                 else if(count_reg == 362)
  804.                     begin   Q0 = 50; Q1 = 51; Q2 = 51; Q3 = 51; Q4 = 51; Q5 = 51; Q6 = 51; Q7 = 50; end
  805.                 else if(count_reg == 363)
  806.                     begin   Q0 = 50; Q1 = 51; Q2 = 51; Q3 = 51; Q4 = 51; Q5 = 51; Q6 = 51; Q7 = 50; end
  807.                
  808.                 else if(count_reg == 364)
  809.                     begin   Q0 = 50; Q1 = 51; Q2 = 51; Q3 = 51; Q4 = 51; Q5 = 51; Q6 = 51; Q7 = 50; end
  810.                 else if(count_reg == 365)
  811.                     begin   Q0 = 0; Q1 = 52; Q2 = 53; Q3 = 53; Q4 = 53; Q5 = 53; Q6 = 52; Q7 = 0; end
  812.                 else if(count_reg == 366)
  813.                     begin   Q0 = 0; Q1 = 0; Q2 = 54; Q3 = 55; Q4 = 55; Q5 = 54; Q6 = 0; Q7 = 0; end
  814.                 else if(count_reg == 367)
  815.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 56; Q4 = 56; Q5 = 0; Q6 = 0; Q7 = 0; end
  816.                 else if(count_reg == 368)
  817.                     begin   Q0 = 0; Q1 = 0; Q2 = 54; Q3 = 55; Q4 = 55; Q5 = 54; Q6 = 0; Q7 = 0; end
  818.                 else if(count_reg == 369)
  819.                     begin   Q0 = 0; Q1 = 52; Q2 = 53; Q3 = 53; Q4 = 53; Q5 = 53; Q6 = 52; Q7 = 0; end
  820.                 else if(count_reg == 370)
  821.                     begin   Q0 = 50; Q1 = 51; Q2 = 51; Q3 = 51; Q4 = 51; Q5 = 51; Q6 = 51; Q7 = 50; end
  822.            
  823.                 else if(count_reg == 371)
  824.                     begin   Q0 = 50; Q1 = 51; Q2 = 51; Q3 = 51; Q4 = 51; Q5 = 51; Q6 = 51; Q7 = 50; end
  825.                 else if(count_reg == 372)
  826.                     begin   Q0 = 50; Q1 = 51; Q2 = 51; Q3 = 51; Q4 = 51; Q5 = 51; Q6 = 51; Q7 = 50; end
  827.                 else if(count_reg == 373)
  828.                     begin   Q0 = 50; Q1 = 51; Q2 = 51; Q3 = 51; Q4 = 51; Q5 = 51; Q6 = 51; Q7 = 50; end
  829.                 else if(count_reg == 374)
  830.                     begin   Q0 = 50; Q1 = 51; Q2 = 51; Q3 = 51; Q4 = 51; Q5 = 51; Q6 = 51; Q7 = 50; end
  831.                 else if(count_reg == 375)
  832.                     begin   Q0 = 50; Q1 = 51; Q2 = 51; Q3 = 51; Q4 = 51; Q5 = 51; Q6 = 51; Q7 = 50; end
  833.                 else if(count_reg == 376)
  834.                     begin   Q0 = 50; Q1 = 51; Q2 = 51; Q3 = 51; Q4 = 51; Q5 = 51; Q6 = 51; Q7 = 50; end
  835.                 else if(count_reg == 377)
  836.                     begin   Q0 = 50; Q1 = 51; Q2 = 51; Q3 = 51; Q4 = 51; Q5 = 51; Q6 = 51; Q7 = 50; end
  837.                 else if(count_reg == 378)
  838.                     begin   Q0 = 50; Q1 = 51; Q2 = 51; Q3 = 51; Q4 = 51; Q5 = 51; Q6 = 51; Q7 = 50; end
  839.                
  840.                 else if(count_reg == 379)
  841.                     begin   Q0 = 1; Q1 = 50; Q2 = 50; Q3 = 50; Q4 = 50; Q5 = 50; Q6 = 50; Q7 = 1; end
  842.                 else if(count_reg == 380)
  843.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  844.                 else if(count_reg == 381)
  845.                     begin   Q0 = 1; Q1 = 50; Q2 = 50; Q3 = 50; Q4 = 50; Q5 = 50; Q6 = 50; Q7 = 1; end
  846.                 else if(count_reg == 382)
  847.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  848.                 else if(count_reg == 383)
  849.                     begin   Q0 = 1; Q1 = 50; Q2 = 50; Q3 = 50; Q4 = 50; Q5 = 50; Q6 = 50; Q7 = 1; end
  850.                 else if(count_reg == 384)
  851.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  852.                 else if(count_reg == 385)
  853.                     begin   Q0 = 1; Q1 = 50; Q2 = 50; Q3 = 50; Q4 = 50; Q5 = 50; Q6 = 50; Q7 = 1; end
  854.                 else if(count_reg == 386)
  855.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  856.                 else if(count_reg == 387)
  857.                     begin   Q0 = 1; Q1 = 50; Q2 = 50; Q3 = 50; Q4 = 50; Q5 = 50; Q6 = 50; Q7 = 1; end
  858.                 else if(count_reg == 388)
  859.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  860.                 else if(count_reg == 389)
  861.                     begin   Q0 = 1; Q1 = 50; Q2 = 50; Q3 = 50; Q4 = 50; Q5 = 50; Q6 = 50; Q7 = 1; end
  862.                 else if(count_reg == 390)
  863.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  864.                 else if(count_reg == 391)
  865.                     begin   Q0 = 1; Q1 = 50; Q2 = 50; Q3 = 50; Q4 = 50; Q5 = 50; Q6 = 50; Q7 = 1; end
  866.                 else if(count_reg == 392)
  867.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  868.                 else if(count_reg == 393)
  869.                     begin   Q0 = 1; Q1 = 50; Q2 = 50; Q3 = 50; Q4 = 50; Q5 = 50; Q6 = 50; Q7 = 1; end
  870.                 else if(count_reg == 394)
  871.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  872.                
  873.                 else if(count_reg == 395)
  874.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 400; end
  875.                 else if(count_reg == 396)
  876.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 400; Q7 = 0; end
  877.                 else if(count_reg == 397)
  878.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 400; Q6 = 0; Q7 = 0; end
  879.                 else if(count_reg == 398)
  880.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 400; Q5 = 0; Q6 = 0; Q7 = 0; end
  881.                 else if(count_reg == 399)
  882.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 400; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  883.                 else if(count_reg == 400)
  884.                     begin   Q0 = 0; Q1 = 0; Q2 = 400; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  885.                 else if(count_reg == 401)
  886.                     begin   Q0 = 401; Q1 = 402; Q2 = 403; Q3 = 401; Q4 = 402; Q5 = 0; Q6 = 0; Q7 = 0; end
  887.                 else if(count_reg == 402)
  888.                     begin   Q0 = 401; Q1 = 402; Q2 = 403; Q3 = 401; Q4 = 402; Q5 = 0; Q6 = 0; Q7 = 0; end
  889.                 else if(count_reg == 403)
  890.                     begin   Q0 = 0; Q1 = 401; Q2 = 402; Q3 = 403; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  891.                 else if(count_reg == 404)
  892.                     begin   Q0 = 0; Q1 = 401; Q2 = 402; Q3 = 403; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  893.                 else if(count_reg == 405)
  894.                     begin   Q0 = 0; Q1 = 0; Q2 = 401; Q3 = 403; Q4 = 402; Q5 = 0; Q6 = 0; Q7 = 0; end
  895.                 else if(count_reg == 406)
  896.                     begin   Q0 = 0; Q1 = 0; Q2 = 401; Q3 = 403; Q4 = 402; Q5 = 0; Q6 = 0; Q7 = 0; end
  897.                 else if(count_reg == 407)
  898.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 401; Q4 = 402; Q5 = 403; Q6 = 0; Q7 = 0; end
  899.                 else if(count_reg == 408)
  900.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 401; Q4 = 402; Q5 = 403; Q6 = 0; Q7 = 0; end
  901.                 else if(count_reg == 409)
  902.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 403; Q5 = 401; Q6 = 402; Q7 = 0; end
  903.                 else if(count_reg == 410)
  904.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 403; Q5 = 401; Q6 = 402; Q7 = 0; end
  905.                 else if(count_reg == 411)
  906.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 401; Q7 = 403; end
  907.                 else if(count_reg == 412)
  908.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 401; Q7 = 403; end
  909.    
  910.                 else if(count_reg == 413)
  911.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 404; end
  912.                 else if(count_reg == 414)
  913.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 404; Q7 = 0; end
  914.                 else if(count_reg == 415)
  915.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 404; Q6 = 0; Q7 = 0; end
  916.                 else if(count_reg == 416)
  917.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 404; Q5 = 0; Q6 = 0; Q7 = 0; end
  918.                 else if(count_reg == 417)
  919.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 404; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  920.                 else if(count_reg == 418)
  921.                     begin   Q0 = 0; Q1 = 0; Q2 = 404; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  922.                 else if(count_reg == 419)
  923.                     begin   Q0 = 405; Q1 = 406; Q2 = 407; Q3 = 405; Q4 = 406; Q5 = 0; Q6 = 0; Q7 = 0; end
  924.                 else if(count_reg == 420)
  925.                     begin   Q0 = 405; Q1 = 406; Q2 = 407; Q3 = 405; Q4 = 406; Q5 = 0; Q6 = 0; Q7 = 0; end
  926.                 else if(count_reg == 421)
  927.                     begin   Q0 = 0; Q1 = 405; Q2 = 406; Q3 = 407; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  928.                 else if(count_reg == 422)
  929.                     begin   Q0 = 0; Q1 = 405; Q2 = 406; Q3 = 407; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  930.                 else if(count_reg == 423)
  931.                     begin   Q0 = 0; Q1 = 0; Q2 = 405; Q3 = 407; Q4 = 406; Q5 = 0; Q6 = 0; Q7 = 0; end
  932.                 else if(count_reg == 424)
  933.                     begin   Q0 = 0; Q1 = 0; Q2 = 405; Q3 = 407; Q4 = 406; Q5 = 0; Q6 = 0; Q7 = 0; end
  934.                 else if(count_reg == 425)
  935.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 405; Q4 = 406; Q5 = 407; Q6 = 0; Q7 = 0; end
  936.                 else if(count_reg == 426)
  937.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 405; Q4 = 406; Q5 = 407; Q6 = 0; Q7 = 0; end
  938.                 else if(count_reg == 427)
  939.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 407; Q5 = 405; Q6 = 406; Q7 = 0; end
  940.                 else if(count_reg == 428)
  941.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 407; Q5 = 405; Q6 = 406; Q7 = 0; end
  942.                 else if(count_reg == 429)
  943.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 405; Q7 = 407; end
  944.                 else if(count_reg == 430)
  945.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 405; Q7 = 407; end
  946.            
  947.                 else if(count_reg == 431)
  948.                     begin   Q0 = 200; Q1 = 201; Q2 = 202; Q3 = 203; Q4 = 204; Q5 = 205; Q6 = 201; Q7 = 200; end
  949.                 else if(count_reg == 432)
  950.                     begin   Q0 = 200; Q1 = 201; Q2 = 202; Q3 = 203; Q4 = 204; Q5 = 205; Q6 = 201; Q7 = 200; end
  951.                 else if(count_reg == 433)
  952.                     begin   Q0 = 2000; Q1 = 2011; Q2 = 2022; Q3 = 2033; Q4 = 2033; Q5 = 2033; Q6 = 2011; Q7 = 2000; end
  953.                 else if(count_reg == 434)
  954.                     begin   Q0 = 2000; Q1 = 2011; Q2 = 2022; Q3 = 2033; Q4 = 2033; Q5 = 2033; Q6 = 2011; Q7 = 2000; end
  955.                 else if(count_reg == 435)
  956.                     begin   Q0 = 206; Q1 = 207; Q2 = 208; Q3 = 209; Q4 = 210; Q5 = 211; Q6 = 207; Q7 = 206; end
  957.                 else if(count_reg == 436)
  958.                     begin   Q0 = 206; Q1 = 207; Q2 = 208; Q3 = 209; Q4 = 210; Q5 = 211; Q6 = 207; Q7 = 206; end
  959.                 else if(count_reg == 437)
  960.                     begin   Q0 = 2066; Q1 = 2077; Q2 = 2088; Q3 = 2099; Q4 = 2099; Q5 = 2099; Q6 = 2077; Q7 = 2066; end
  961.                 else if(count_reg == 438)
  962.                     begin   Q0 = 2066; Q1 = 2077; Q2 = 2088; Q3 = 2099; Q4 = 2099; Q5 = 2099; Q6 = 2077; Q7 = 2066; end
  963.                 else if(count_reg == 439)
  964.                     begin   Q0 = 212; Q1 = 213; Q2 = 214; Q3 = 215; Q4 = 216; Q5 = 217; Q6 = 213; Q7 = 212; end
  965.                else if(count_reg == 440)
  966.                     begin   Q0 = 212; Q1 = 213; Q2 = 214; Q3 = 215; Q4 = 216; Q5 = 217; Q6 = 213; Q7 = 212; end
  967.                 else if(count_reg == 441)
  968.                     begin   Q0 = 21212; Q1 = 21313; Q2 = 21414; Q3 = 21515; Q4 = 21515; Q5 = 21515; Q6 = 21313; Q7 = 21212; end
  969.                else if(count_reg == 442)
  970.                     begin   Q0 = 21212; Q1 = 21313; Q2 = 21414; Q3 = 21515; Q4 = 21515; Q5 = 21515; Q6 = 21313; Q7 = 21212; end
  971.                 else if(count_reg == 443)
  972.                     begin   Q0 = 218; Q1 = 219; Q2 = 220; Q3 = 221; Q4 = 222; Q5 = 223; Q6 = 219; Q7 = 218; end
  973.                else if(count_reg == 444)
  974.                     begin   Q0 = 218; Q1 = 219; Q2 = 220; Q3 = 221; Q4 = 222; Q5 = 223; Q6 = 219; Q7 = 218; end
  975.                else if(count_reg == 445)
  976.                     begin   Q0 = 21818; Q1 = 21919; Q2 = 22020; Q3 = 22121; Q4 = 22121; Q5 = 22121; Q6 = 21919; Q7 = 21818; end
  977.                else if(count_reg == 446)
  978.                     begin   Q0 = 21818; Q1 = 21919; Q2 = 22020; Q3 = 22121; Q4 = 22121; Q5 = 22121; Q6 = 21919; Q7 = 21818; end
  979.                else if(count_reg == 447)
  980.                     begin   Q0 = 224; Q1 = 225; Q2 = 226; Q3 = 227; Q4 = 228; Q5 = 229; Q6 = 225; Q7 = 224; end
  981.                else if(count_reg == 448)
  982.                     begin   Q0 = 224; Q1 = 225; Q2 = 226; Q3 = 227; Q4 = 228; Q5 = 229; Q6 = 225; Q7 = 224; end
  983.                 else if(count_reg == 449)
  984.                     begin   Q0 = 22424; Q1 = 22525; Q2 = 22626; Q3 = 22727; Q4 = 22727; Q5 = 22727; Q6 = 22525; Q7 = 22424; end
  985.                else if(count_reg == 450)
  986.                     begin   Q0 = 22424; Q1 = 22525; Q2 = 22626; Q3 = 22727; Q4 = 22727; Q5 = 22727; Q6 = 22525; Q7 = 22424; end
  987.                else if(count_reg == 451)
  988.                     begin   Q0 = 230; Q1 = 231; Q2 = 232; Q3 = 233; Q4 = 234; Q5 = 235; Q6 = 231; Q7 = 230; end
  989.                else if(count_reg == 452)
  990.                     begin   Q0 = 230; Q1 = 231; Q2 = 232; Q3 = 233; Q4 = 234; Q5 = 235; Q6 = 231; Q7 = 230; end
  991.                 else if(count_reg == 453)
  992.                     begin   Q0 = 23030; Q1 = 23131; Q2 = 23232; Q3 = 23333; Q4 = 23333; Q5 = 23333; Q6 = 23131; Q7 = 23030; end
  993.                else if(count_reg == 454)
  994.                     begin   Q0 = 23030; Q1 = 23131; Q2 = 23232; Q3 = 23333; Q4 = 23333; Q5 = 23333; Q6 = 23131; Q7 = 23030; end
  995.                 else if(count_reg == 455)
  996.                     begin   Q0 = 236; Q1 = 237; Q2 = 238; Q3 = 239; Q4 = 240; Q5 = 241; Q6 = 237; Q7 = 236; end
  997.                else if(count_reg == 456)
  998.                     begin   Q0 = 236; Q1 = 237; Q2 = 238; Q3 = 239; Q4 = 240; Q5 = 241; Q6 = 237; Q7 = 236; end
  999.                 else if(count_reg == 457)
  1000.                     begin   Q0 = 23636; Q1 = 23737; Q2 = 23838; Q3 = 23939; Q4 = 23939; Q5 = 23939; Q6 = 23737; Q7 = 23636; end
  1001.                else if(count_reg == 458)
  1002.                     begin   Q0 = 23636; Q1 = 23737; Q2 = 23838; Q3 = 23939; Q4 = 23939; Q5 = 23939; Q6 = 23737; Q7 = 23636; end
  1003.                else if(count_reg == 459)
  1004.                     begin   Q0 = 242; Q1 = 243; Q2 = 244; Q3 = 245; Q4 = 246; Q5 = 247; Q6 = 243; Q7 = 242; end
  1005.                else if(count_reg == 460)
  1006.                     begin   Q0 = 242; Q1 = 243; Q2 = 244; Q3 = 245; Q4 = 246; Q5 = 247; Q6 = 243; Q7 = 242; end
  1007.                 else if(count_reg == 461)
  1008.                     begin   Q0 = 24242; Q1 = 24343; Q2 = 24444; Q3 = 24545; Q4 = 24545; Q5 = 24545; Q6 = 24343; Q7 = 24242; end
  1009.                else if(count_reg == 462)
  1010.                     begin   Q0 = 24242; Q1 = 24343; Q2 = 24444; Q3 = 24545; Q4 = 24545; Q5 = 24545; Q6 = 24343; Q7 = 24242; end
  1011.                 else if(count_reg == 463)
  1012.                     begin   Q0 = 248; Q1 = 249; Q2 = 250; Q3 = 251; Q4 = 252; Q5 = 253; Q6 = 249; Q7 = 248; end
  1013.                else if(count_reg == 464)
  1014.                     begin   Q0 = 248; Q1 = 249; Q2 = 250; Q3 = 251; Q4 = 252; Q5 = 253; Q6 = 249; Q7 = 248; end
  1015.                 else if(count_reg == 465)
  1016.                     begin   Q0 = 24848; Q1 = 24949; Q2 = 25050; Q3 = 25151; Q4 = 25151; Q5 = 25151; Q6 = 24949; Q7 = 24848; end
  1017.                else if(count_reg == 466)
  1018.                     begin   Q0 = 24848; Q1 = 24949; Q2 = 25050; Q3 = 25151; Q4 = 25151; Q5 = 25151; Q6 = 24949; Q7 = 24848; end
  1019.                 else if(count_reg == 467)
  1020.                     begin   Q0 = 254; Q1 = 255; Q2 = 256; Q3 = 257; Q4 = 258; Q5 = 259; Q6 = 255; Q7 = 254; end
  1021.                else if(count_reg == 468)
  1022.                     begin   Q0 = 254; Q1 = 255; Q2 = 256; Q3 = 257; Q4 = 258; Q5 = 259; Q6 = 255; Q7 = 254; end
  1023.                 else if(count_reg == 469)
  1024.                     begin   Q0 = 25454; Q1 = 25555; Q2 = 25656; Q3 = 25757; Q4 = 25757; Q5 = 25757; Q6 = 25555; Q7 = 25454; end
  1025.                else if(count_reg == 470)
  1026.                     begin   Q0 = 25454; Q1 = 25555; Q2 = 25656; Q3 = 25757; Q4 = 25757; Q5 = 25757; Q6 = 25555; Q7 = 25454; end
  1027.                 else if(count_reg == 471)
  1028.                     begin   Q0 = 260; Q1 = 261; Q2 = 262; Q3 = 263; Q4 = 264; Q5 = 265; Q6 = 261; Q7 = 260; end
  1029.                else if(count_reg == 472)
  1030.                     begin   Q0 = 260; Q1 = 261; Q2 = 262; Q3 = 263; Q4 = 264; Q5 = 265; Q6 = 261; Q7 = 260; end
  1031.                 else if(count_reg == 473)
  1032.                     begin   Q0 = 26060; Q1 = 26161; Q2 = 26262; Q3 = 26363; Q4 = 26363; Q5 = 26363; Q6 = 26161; Q7 = 26060; end
  1033.                else if(count_reg == 474)
  1034.                     begin   Q0 = 26060; Q1 = 26161; Q2 = 26262; Q3 = 26363; Q4 = 26363; Q5 = 26363; Q6 = 26161; Q7 = 26060; end
  1035.                 else if(count_reg == 475)
  1036.                     begin   Q0 = 266; Q1 = 267; Q2 = 268; Q3 = 269; Q4 = 270; Q5 = 271; Q6 = 267; Q7 = 266; end
  1037.                else if(count_reg == 476)
  1038.                     begin   Q0 = 266; Q1 = 267; Q2 = 268; Q3 = 269; Q4 = 270; Q5 = 271; Q6 = 267; Q7 = 266; end
  1039.                 else if(count_reg == 477)
  1040.                     begin   Q0 = 26666; Q1 = 26767; Q2 = 26868; Q3 = 26969; Q4 = 26969; Q5 = 26969; Q6 = 26767; Q7 = 26666; end
  1041.                else if(count_reg == 478)
  1042.                     begin   Q0 = 26666; Q1 = 26767; Q2 = 26868; Q3 = 26969; Q4 = 26969; Q5 = 26969; Q6 = 26767; Q7 = 26666; end
  1043.                 else if(count_reg == 479)
  1044.                     begin   Q0 = 272; Q1 = 273; Q2 = 274; Q3 = 275; Q4 = 276; Q5 = 277; Q6 = 273; Q7 = 272; end
  1045.                else if(count_reg == 480)
  1046.                     begin   Q0 = 272; Q1 = 273; Q2 = 274; Q3 = 275; Q4 = 276; Q5 = 277; Q6 = 273; Q7 = 272; end
  1047.                 else if(count_reg == 481)
  1048.                     begin   Q0 = 27272; Q1 = 27373; Q2 = 27474; Q3 = 27575; Q4 = 27575; Q5 = 27575; Q6 = 27373; Q7 = 27272; end
  1049.                else if(count_reg == 482)
  1050.                     begin   Q0 = 27272; Q1 = 27373; Q2 = 27474; Q3 = 27575; Q4 = 27575; Q5 = 27575; Q6 = 27373; Q7 = 27272; end
  1051.                 else if(count_reg == 483)
  1052.                     begin   Q0 = 278; Q1 = 279; Q2 = 280; Q3 = 281; Q4 = 282; Q5 = 283; Q6 = 279; Q7 = 278; end
  1053.                else if(count_reg == 484)
  1054.                     begin   Q0 = 278; Q1 = 279; Q2 = 280; Q3 = 281; Q4 = 282; Q5 = 283; Q6 = 279; Q7 = 278; end
  1055.                 else if(count_reg == 485)
  1056.                     begin   Q0 = 27878; Q1 = 27979; Q2 = 28080; Q3 = 28181; Q4 = 28181; Q5 = 28181; Q6 = 27979; Q7 = 27878; end
  1057.                else if(count_reg == 486)
  1058.                     begin   Q0 = 27878; Q1 = 27979; Q2 = 28080; Q3 = 28181; Q4 = 28181; Q5 = 28181; Q6 = 27979; Q7 = 27878; end
  1059.                    
  1060.                 else if(count_reg == 487)
  1061.                     begin   Q0 = 200; Q1 = 201; Q2 = 202; Q3 = 203; Q4 = 204; Q5 = 205; Q6 = 201; Q7 = 200; end
  1062.                 else if(count_reg == 488)
  1063.                     begin   Q0 = 200; Q1 = 201; Q2 = 202; Q3 = 203; Q4 = 204; Q5 = 205; Q6 = 201; Q7 = 200; end
  1064.                 else if(count_reg == 489)
  1065.                     begin   Q0 = 2000; Q1 = 2011; Q2 = 2022; Q3 = 2033; Q4 = 2033; Q5 = 2033; Q6 = 2011; Q7 = 2000; end
  1066.                 else if(count_reg == 490)
  1067.                     begin   Q0 = 2000; Q1 = 2011; Q2 = 2022; Q3 = 2033; Q4 = 2033; Q5 = 2033; Q6 = 2011; Q7 = 2000; end
  1068.                 else if(count_reg == 491)
  1069.                     begin   Q0 = 206; Q1 = 207; Q2 = 208; Q3 = 209; Q4 = 210; Q5 = 211; Q6 = 207; Q7 = 206; end
  1070.                 else if(count_reg == 492)
  1071.                     begin   Q0 = 206; Q1 = 207; Q2 = 208; Q3 = 209; Q4 = 210; Q5 = 211; Q6 = 207; Q7 = 206; end
  1072.                 else if(count_reg == 493)
  1073.                     begin   Q0 = 2066; Q1 = 2077; Q2 = 2088; Q3 = 2099; Q4 = 2099; Q5 = 2099; Q6 = 2077; Q7 = 2066; end
  1074.                 else if(count_reg == 494)
  1075.                     begin   Q0 = 2066; Q1 = 2077; Q2 = 2088; Q3 = 2099; Q4 = 2099; Q5 = 2099; Q6 = 2077; Q7 = 2066; end
  1076.                 else if(count_reg == 495)
  1077.                     begin   Q0 = 212; Q1 = 213; Q2 = 214; Q3 = 215; Q4 = 216; Q5 = 217; Q6 = 213; Q7 = 212; end
  1078.                else if(count_reg == 496)
  1079.                     begin   Q0 = 212; Q1 = 213; Q2 = 214; Q3 = 215; Q4 = 216; Q5 = 217; Q6 = 213; Q7 = 212; end
  1080.                 else if(count_reg == 497)
  1081.                     begin   Q0 = 21212; Q1 = 21313; Q2 = 21414; Q3 = 21515; Q4 = 21515; Q5 = 21515; Q6 = 21313; Q7 = 21212; end
  1082.                else if(count_reg == 498)
  1083.                     begin   Q0 = 21212; Q1 = 21313; Q2 = 21414; Q3 = 21515; Q4 = 21515; Q5 = 21515; Q6 = 21313; Q7 = 21212; end
  1084.                 else if(count_reg == 499)
  1085.                     begin   Q0 = 218; Q1 = 219; Q2 = 220; Q3 = 221; Q4 = 222; Q5 = 223; Q6 = 219; Q7 = 218; end
  1086.                else if(count_reg == 500)
  1087.                     begin   Q0 = 218; Q1 = 219; Q2 = 220; Q3 = 221; Q4 = 222; Q5 = 223; Q6 = 219; Q7 = 218; end
  1088.                else if(count_reg == 501)
  1089.                     begin   Q0 = 21818; Q1 = 21919; Q2 = 22020; Q3 = 22121; Q4 = 22121; Q5 = 22121; Q6 = 21919; Q7 = 21818; end
  1090.                else if(count_reg == 502)
  1091.                     begin   Q0 = 21818; Q1 = 21919; Q2 = 22020; Q3 = 22121; Q4 = 22121; Q5 = 22121; Q6 = 21919; Q7 = 21818; end
  1092.                else if(count_reg == 503)
  1093.                     begin   Q0 = 224; Q1 = 225; Q2 = 226; Q3 = 227; Q4 = 228; Q5 = 229; Q6 = 225; Q7 = 224; end
  1094.                else if(count_reg == 504)
  1095.                     begin   Q0 = 224; Q1 = 225; Q2 = 226; Q3 = 227; Q4 = 228; Q5 = 229; Q6 = 225; Q7 = 224; end
  1096.                 else if(count_reg == 505)
  1097.                     begin   Q0 = 22424; Q1 = 22525; Q2 = 22626; Q3 = 22727; Q4 = 22727; Q5 = 22727; Q6 = 22525; Q7 = 22424; end
  1098.                else if(count_reg == 506)
  1099.                     begin   Q0 = 22424; Q1 = 22525; Q2 = 22626; Q3 = 22727; Q4 = 22727; Q5 = 22727; Q6 = 22525; Q7 = 22424; end
  1100.                else if(count_reg == 507)
  1101.                     begin   Q0 = 230; Q1 = 231; Q2 = 232; Q3 = 233; Q4 = 234; Q5 = 235; Q6 = 231; Q7 = 230; end
  1102.                else if(count_reg == 508)
  1103.                     begin   Q0 = 230; Q1 = 231; Q2 = 232; Q3 = 233; Q4 = 234; Q5 = 235; Q6 = 231; Q7 = 230; end
  1104.                 else if(count_reg == 509)
  1105.                     begin   Q0 = 23030; Q1 = 23131; Q2 = 23232; Q3 = 23333; Q4 = 23333; Q5 = 23333; Q6 = 23131; Q7 = 23030; end
  1106.                else if(count_reg == 510)
  1107.                     begin   Q0 = 23030; Q1 = 23131; Q2 = 23232; Q3 = 23333; Q4 = 23333; Q5 = 23333; Q6 = 23131; Q7 = 23030; end
  1108.                 else if(count_reg == 511)
  1109.                     begin   Q0 = 236; Q1 = 237; Q2 = 238; Q3 = 239; Q4 = 240; Q5 = 241; Q6 = 237; Q7 = 236; end
  1110.                else if(count_reg == 512)
  1111.                     begin   Q0 = 236; Q1 = 237; Q2 = 238; Q3 = 239; Q4 = 240; Q5 = 241; Q6 = 237; Q7 = 236; end
  1112.                 else if(count_reg == 513)
  1113.                     begin   Q0 = 23636; Q1 = 23737; Q2 = 23838; Q3 = 23939; Q4 = 23939; Q5 = 23939; Q6 = 23737; Q7 = 23636; end
  1114.                else if(count_reg == 514)
  1115.                     begin   Q0 = 23636; Q1 = 23737; Q2 = 23838; Q3 = 23939; Q4 = 23939; Q5 = 23939; Q6 = 23737; Q7 = 23636; end
  1116.                else if(count_reg == 515)
  1117.                     begin   Q0 = 242; Q1 = 243; Q2 = 244; Q3 = 245; Q4 = 246; Q5 = 247; Q6 = 243; Q7 = 242; end
  1118.                else if(count_reg == 516)
  1119.                     begin   Q0 = 242; Q1 = 243; Q2 = 244; Q3 = 245; Q4 = 246; Q5 = 247; Q6 = 243; Q7 = 242; end
  1120.                 else if(count_reg == 517)
  1121.                     begin   Q0 = 24242; Q1 = 24343; Q2 = 24444; Q3 = 24545; Q4 = 24545; Q5 = 24545; Q6 = 24343; Q7 = 24242; end
  1122.                else if(count_reg == 518)
  1123.                     begin   Q0 = 24242; Q1 = 24343; Q2 = 24444; Q3 = 24545; Q4 = 24545; Q5 = 24545; Q6 = 24343; Q7 = 24242; end
  1124.                 else if(count_reg == 519)
  1125.                     begin   Q0 = 248; Q1 = 249; Q2 = 250; Q3 = 251; Q4 = 252; Q5 = 253; Q6 = 249; Q7 = 248; end
  1126.                else if(count_reg == 520)
  1127.                     begin   Q0 = 248; Q1 = 249; Q2 = 250; Q3 = 251; Q4 = 252; Q5 = 253; Q6 = 249; Q7 = 248; end
  1128.                 else if(count_reg == 521)
  1129.                     begin   Q0 = 24848; Q1 = 24949; Q2 = 25050; Q3 = 25151; Q4 = 25151; Q5 = 25151; Q6 = 24949; Q7 = 24848; end
  1130.                else if(count_reg == 522)
  1131.                     begin   Q0 = 24848; Q1 = 24949; Q2 = 25050; Q3 = 25151; Q4 = 25151; Q5 = 25151; Q6 = 24949; Q7 = 24848; end
  1132.                 else if(count_reg == 523)
  1133.                     begin   Q0 = 254; Q1 = 255; Q2 = 256; Q3 = 257; Q4 = 258; Q5 = 259; Q6 = 255; Q7 = 254; end
  1134.                else if(count_reg == 524)
  1135.                     begin   Q0 = 254; Q1 = 255; Q2 = 256; Q3 = 257; Q4 = 258; Q5 = 259; Q6 = 255; Q7 = 254; end
  1136.                 else if(count_reg == 525)
  1137.                     begin   Q0 = 25454; Q1 = 25555; Q2 = 25656; Q3 = 25757; Q4 = 25757; Q5 = 25757; Q6 = 25555; Q7 = 25454; end
  1138.                else if(count_reg == 526)
  1139.                     begin   Q0 = 25454; Q1 = 25555; Q2 = 25656; Q3 = 25757; Q4 = 25757; Q5 = 25757; Q6 = 25555; Q7 = 25454; end
  1140.                 else if(count_reg == 527)
  1141.                     begin   Q0 = 260; Q1 = 261; Q2 = 262; Q3 = 263; Q4 = 264; Q5 = 265; Q6 = 261; Q7 = 260; end
  1142.                else if(count_reg == 528)
  1143.                     begin   Q0 = 260; Q1 = 261; Q2 = 262; Q3 = 263; Q4 = 264; Q5 = 265; Q6 = 261; Q7 = 260; end
  1144.                 else if(count_reg == 529)
  1145.                     begin   Q0 = 26060; Q1 = 26161; Q2 = 26262; Q3 = 26363; Q4 = 26363; Q5 = 26363; Q6 = 26161; Q7 = 26060; end
  1146.                else if(count_reg == 530)
  1147.                     begin   Q0 = 26060; Q1 = 26161; Q2 = 26262; Q3 = 26363; Q4 = 26363; Q5 = 26363; Q6 = 26161; Q7 = 26060; end
  1148.                 else if(count_reg == 531)
  1149.                     begin   Q0 = 266; Q1 = 267; Q2 = 268; Q3 = 269; Q4 = 270; Q5 = 271; Q6 = 267; Q7 = 266; end
  1150.                else if(count_reg == 532)
  1151.                     begin   Q0 = 266; Q1 = 267; Q2 = 268; Q3 = 269; Q4 = 270; Q5 = 271; Q6 = 267; Q7 = 266; end
  1152.                 else if(count_reg == 533)
  1153.                     begin   Q0 = 26666; Q1 = 26767; Q2 = 26868; Q3 = 26969; Q4 = 26969; Q5 = 26969; Q6 = 26767; Q7 = 26666; end
  1154.                else if(count_reg == 534)
  1155.                     begin   Q0 = 26666; Q1 = 26767; Q2 = 26868; Q3 = 26969; Q4 = 26969; Q5 = 26969; Q6 = 26767; Q7 = 26666; end
  1156.                 else if(count_reg == 535)
  1157.                     begin   Q0 = 272; Q1 = 273; Q2 = 274; Q3 = 275; Q4 = 276; Q5 = 277; Q6 = 273; Q7 = 272; end
  1158.                else if(count_reg == 536)
  1159.                     begin   Q0 = 272; Q1 = 273; Q2 = 274; Q3 = 275; Q4 = 276; Q5 = 277; Q6 = 273; Q7 = 272; end
  1160.                 else if(count_reg == 537)
  1161.                     begin   Q0 = 27272; Q1 = 27373; Q2 = 27474; Q3 = 27575; Q4 = 27575; Q5 = 27575; Q6 = 27373; Q7 = 27272; end
  1162.                else if(count_reg == 538)
  1163.                     begin   Q0 = 27272; Q1 = 27373; Q2 = 27474; Q3 = 27575; Q4 = 27575; Q5 = 27575; Q6 = 27373; Q7 = 27272; end
  1164.                 else if(count_reg == 539)
  1165.                     begin   Q0 = 278; Q1 = 279; Q2 = 280; Q3 = 281; Q4 = 282; Q5 = 283; Q6 = 279; Q7 = 278; end
  1166.                else if(count_reg == 540)
  1167.                     begin   Q0 = 278; Q1 = 279; Q2 = 280; Q3 = 281; Q4 = 282; Q5 = 283; Q6 = 279; Q7 = 278; end
  1168.                 else if(count_reg == 541)
  1169.                     begin   Q0 = 27878; Q1 = 27979; Q2 = 28080; Q3 = 28181; Q4 = 28181; Q5 = 28181; Q6 = 27979; Q7 = 27878; end
  1170.                else if(count_reg == 542)
  1171.                     begin   Q0 = 27878; Q1 = 27979; Q2 = 28080; Q3 = 28181; Q4 = 28181; Q5 = 28181; Q6 = 27979; Q7 = 27878; end
  1172.            
  1173.                 else if(count_reg == 543)
  1174.                     begin   Q0 = 200; Q1 = 201; Q2 = 202; Q3 = 203; Q4 = 204; Q5 = 205; Q6 = 201; Q7 = 200; end
  1175.                 else if(count_reg == 544)
  1176.                     begin   Q0 = 200; Q1 = 201; Q2 = 202; Q3 = 203; Q4 = 204; Q5 = 205; Q6 = 201; Q7 = 200; end
  1177.                 else if(count_reg == 545)
  1178.                     begin   Q0 = 2000; Q1 = 2011; Q2 = 2022; Q3 = 2033; Q4 = 2033; Q5 = 2033; Q6 = 2011; Q7 = 2000; end
  1179.                 else if(count_reg == 546)
  1180.                     begin   Q0 = 2000; Q1 = 2011; Q2 = 2022; Q3 = 2033; Q4 = 2033; Q5 = 2033; Q6 = 2011; Q7 = 2000; end
  1181.                 else if(count_reg == 547)
  1182.                     begin   Q0 = 206; Q1 = 207; Q2 = 208; Q3 = 209; Q4 = 210; Q5 = 211; Q6 = 207; Q7 = 206; end
  1183.                 else if(count_reg == 548)
  1184.                     begin   Q0 = 206; Q1 = 207; Q2 = 208; Q3 = 209; Q4 = 210; Q5 = 211; Q6 = 207; Q7 = 206; end
  1185.                 else if(count_reg == 549)
  1186.                     begin   Q0 = 2066; Q1 = 2077; Q2 = 2088; Q3 = 2099; Q4 = 2099; Q5 = 2099; Q6 = 2077; Q7 = 2066; end
  1187.                 else if(count_reg == 550)
  1188.                     begin   Q0 = 2066; Q1 = 2077; Q2 = 2088; Q3 = 2099; Q4 = 2099; Q5 = 2099; Q6 = 2077; Q7 = 2066; end
  1189.                 else if(count_reg == 551)
  1190.                     begin   Q0 = 212; Q1 = 213; Q2 = 214; Q3 = 215; Q4 = 216; Q5 = 217; Q6 = 213; Q7 = 212; end
  1191.                else if(count_reg == 552)
  1192.                     begin   Q0 = 212; Q1 = 213; Q2 = 214; Q3 = 215; Q4 = 216; Q5 = 217; Q6 = 213; Q7 = 212; end
  1193.                 else if(count_reg == 553)
  1194.                     begin   Q0 = 21212; Q1 = 21313; Q2 = 21414; Q3 = 21515; Q4 = 21515; Q5 = 21515; Q6 = 21313; Q7 = 21212; end
  1195.                else if(count_reg == 554)
  1196.                     begin   Q0 = 21212; Q1 = 21313; Q2 = 21414; Q3 = 21515; Q4 = 21515; Q5 = 21515; Q6 = 21313; Q7 = 21212; end
  1197.                 else if(count_reg == 555)
  1198.                     begin   Q0 = 218; Q1 = 219; Q2 = 220; Q3 = 221; Q4 = 222; Q5 = 223; Q6 = 219; Q7 = 218; end
  1199.                else if(count_reg == 556)
  1200.                     begin   Q0 = 218; Q1 = 219; Q2 = 220; Q3 = 221; Q4 = 222; Q5 = 223; Q6 = 219; Q7 = 218; end
  1201.                else if(count_reg == 557)
  1202.                     begin   Q0 = 21818; Q1 = 21919; Q2 = 22020; Q3 = 22121; Q4 = 22121; Q5 = 22121; Q6 = 21919; Q7 = 21818; end
  1203.                else if(count_reg == 558)
  1204.                     begin   Q0 = 21818; Q1 = 21919; Q2 = 22020; Q3 = 22121; Q4 = 22121; Q5 = 22121; Q6 = 21919; Q7 = 21818; end
  1205.                else if(count_reg == 559)
  1206.                     begin   Q0 = 224; Q1 = 225; Q2 = 226; Q3 = 227; Q4 = 228; Q5 = 229; Q6 = 225; Q7 = 224; end
  1207.                else if(count_reg == 560)
  1208.                     begin   Q0 = 224; Q1 = 225; Q2 = 226; Q3 = 227; Q4 = 228; Q5 = 229; Q6 = 225; Q7 = 224; end
  1209.                 else if(count_reg == 561)
  1210.                     begin   Q0 = 22424; Q1 = 22525; Q2 = 22626; Q3 = 22727; Q4 = 22727; Q5 = 22727; Q6 = 22525; Q7 = 22424; end
  1211.                else if(count_reg == 562)
  1212.                     begin   Q0 = 22424; Q1 = 22525; Q2 = 22626; Q3 = 22727; Q4 = 22727; Q5 = 22727; Q6 = 22525; Q7 = 22424; end
  1213.                else if(count_reg == 563)
  1214.                     begin   Q0 = 230; Q1 = 231; Q2 = 232; Q3 = 233; Q4 = 234; Q5 = 235; Q6 = 231; Q7 = 230; end
  1215.                else if(count_reg == 564)
  1216.                     begin   Q0 = 230; Q1 = 231; Q2 = 232; Q3 = 233; Q4 = 234; Q5 = 235; Q6 = 231; Q7 = 230; end
  1217.                 else if(count_reg == 565)
  1218.                     begin   Q0 = 23030; Q1 = 23131; Q2 = 23232; Q3 = 23333; Q4 = 23333; Q5 = 23333; Q6 = 23131; Q7 = 23030; end
  1219.                else if(count_reg == 566)
  1220.                     begin   Q0 = 23030; Q1 = 23131; Q2 = 23232; Q3 = 23333; Q4 = 23333; Q5 = 23333; Q6 = 23131; Q7 = 23030; end
  1221.                 else if(count_reg == 567)
  1222.                     begin   Q0 = 236; Q1 = 237; Q2 = 238; Q3 = 239; Q4 = 240; Q5 = 241; Q6 = 237; Q7 = 236; end
  1223.                else if(count_reg == 568)
  1224.                     begin   Q0 = 236; Q1 = 237; Q2 = 238; Q3 = 239; Q4 = 240; Q5 = 241; Q6 = 237; Q7 = 236; end
  1225.                 else if(count_reg == 569)
  1226.                     begin   Q0 = 23636; Q1 = 23737; Q2 = 23838; Q3 = 23939; Q4 = 23939; Q5 = 23939; Q6 = 23737; Q7 = 23636; end
  1227.                else if(count_reg == 570)
  1228.                     begin   Q0 = 23636; Q1 = 23737; Q2 = 23838; Q3 = 23939; Q4 = 23939; Q5 = 23939; Q6 = 23737; Q7 = 23636; end
  1229.                else if(count_reg == 571)
  1230.                     begin   Q0 = 242; Q1 = 243; Q2 = 244; Q3 = 245; Q4 = 246; Q5 = 247; Q6 = 243; Q7 = 242; end
  1231.                else if(count_reg == 572)
  1232.                     begin   Q0 = 242; Q1 = 243; Q2 = 244; Q3 = 245; Q4 = 246; Q5 = 247; Q6 = 243; Q7 = 242; end
  1233.                 else if(count_reg == 573)
  1234.                     begin   Q0 = 24242; Q1 = 24343; Q2 = 24444; Q3 = 24545; Q4 = 24545; Q5 = 24545; Q6 = 24343; Q7 = 24242; end
  1235.                else if(count_reg == 574)
  1236.                     begin   Q0 = 24242; Q1 = 24343; Q2 = 24444; Q3 = 24545; Q4 = 24545; Q5 = 24545; Q6 = 24343; Q7 = 24242; end
  1237.                 else if(count_reg == 575)
  1238.                     begin   Q0 = 248; Q1 = 249; Q2 = 250; Q3 = 251; Q4 = 252; Q5 = 253; Q6 = 249; Q7 = 248; end
  1239.                else if(count_reg == 576)
  1240.                     begin   Q0 = 248; Q1 = 249; Q2 = 250; Q3 = 251; Q4 = 252; Q5 = 253; Q6 = 249; Q7 = 248; end
  1241.                 else if(count_reg == 577)
  1242.                     begin   Q0 = 24848; Q1 = 24949; Q2 = 25050; Q3 = 25151; Q4 = 25151; Q5 = 25151; Q6 = 24949; Q7 = 24848; end
  1243.                else if(count_reg == 578)
  1244.                     begin   Q0 = 24848; Q1 = 24949; Q2 = 25050; Q3 = 25151; Q4 = 25151; Q5 = 25151; Q6 = 24949; Q7 = 24848; end
  1245.                 else if(count_reg == 579)
  1246.                     begin   Q0 = 254; Q1 = 255; Q2 = 256; Q3 = 257; Q4 = 258; Q5 = 259; Q6 = 255; Q7 = 254; end
  1247.                else if(count_reg == 580)
  1248.                     begin   Q0 = 254; Q1 = 255; Q2 = 256; Q3 = 257; Q4 = 258; Q5 = 259; Q6 = 255; Q7 = 254; end
  1249.                 else if(count_reg == 581)
  1250.                     begin   Q0 = 25454; Q1 = 25555; Q2 = 25656; Q3 = 25757; Q4 = 25757; Q5 = 25757; Q6 = 25555; Q7 = 25454; end
  1251.                else if(count_reg == 582)
  1252.                     begin   Q0 = 25454; Q1 = 25555; Q2 = 25656; Q3 = 25757; Q4 = 25757; Q5 = 25757; Q6 = 25555; Q7 = 25454; end
  1253.                 else if(count_reg == 583)
  1254.                     begin   Q0 = 260; Q1 = 261; Q2 = 262; Q3 = 263; Q4 = 264; Q5 = 265; Q6 = 261; Q7 = 260; end
  1255.                else if(count_reg == 584)
  1256.                     begin   Q0 = 260; Q1 = 261; Q2 = 262; Q3 = 263; Q4 = 264; Q5 = 265; Q6 = 261; Q7 = 260; end
  1257.                 else if(count_reg == 585)
  1258.                     begin   Q0 = 26060; Q1 = 26161; Q2 = 26262; Q3 = 26363; Q4 = 26363; Q5 = 26363; Q6 = 26161; Q7 = 26060; end
  1259.                else if(count_reg == 586)
  1260.                     begin   Q0 = 26060; Q1 = 26161; Q2 = 26262; Q3 = 26363; Q4 = 26363; Q5 = 26363; Q6 = 26161; Q7 = 26060; end
  1261.                 else if(count_reg == 587)
  1262.                     begin   Q0 = 266; Q1 = 267; Q2 = 268; Q3 = 269; Q4 = 270; Q5 = 271; Q6 = 267; Q7 = 266; end
  1263.                else if(count_reg == 588)
  1264.                     begin   Q0 = 266; Q1 = 267; Q2 = 268; Q3 = 269; Q4 = 270; Q5 = 271; Q6 = 267; Q7 = 266; end
  1265.                 else if(count_reg == 589)
  1266.                     begin   Q0 = 26666; Q1 = 26767; Q2 = 26868; Q3 = 26969; Q4 = 26969; Q5 = 26969; Q6 = 26767; Q7 = 26666; end
  1267.                else if(count_reg == 590)
  1268.                     begin   Q0 = 26666; Q1 = 26767; Q2 = 26868; Q3 = 26969; Q4 = 26969; Q5 = 26969; Q6 = 26767; Q7 = 26666; end
  1269.                 else if(count_reg == 591)
  1270.                     begin   Q0 = 272; Q1 = 273; Q2 = 274; Q3 = 275; Q4 = 276; Q5 = 277; Q6 = 273; Q7 = 272; end
  1271.                else if(count_reg == 592)
  1272.                     begin   Q0 = 272; Q1 = 273; Q2 = 274; Q3 = 275; Q4 = 276; Q5 = 277; Q6 = 273; Q7 = 272; end
  1273.                 else if(count_reg == 593)
  1274.                     begin   Q0 = 27272; Q1 = 27373; Q2 = 27474; Q3 = 27575; Q4 = 27575; Q5 = 27575; Q6 = 27373; Q7 = 27272; end
  1275.                else if(count_reg == 594)
  1276.                     begin   Q0 = 27272; Q1 = 27373; Q2 = 27474; Q3 = 27575; Q4 = 27575; Q5 = 27575; Q6 = 27373; Q7 = 27272; end
  1277.                 else if(count_reg == 595)
  1278.                     begin   Q0 = 278; Q1 = 279; Q2 = 280; Q3 = 281; Q4 = 282; Q5 = 283; Q6 = 279; Q7 = 278; end
  1279.                else if(count_reg == 596)
  1280.                     begin   Q0 = 278; Q1 = 279; Q2 = 280; Q3 = 281; Q4 = 282; Q5 = 283; Q6 = 279; Q7 = 278; end
  1281.                 else if(count_reg == 597)
  1282.                     begin   Q0 = 27878; Q1 = 27979; Q2 = 28080; Q3 = 28181; Q4 = 28181; Q5 = 28181; Q6 = 27979; Q7 = 27878; end
  1283.                else if(count_reg == 598)
  1284.                     begin   Q0 = 27878; Q1 = 27979; Q2 = 28080; Q3 = 28181; Q4 = 28181; Q5 = 28181; Q6 = 27979; Q7 = 27878; end
  1285.        
  1286.                 else if (count_reg == 599)
  1287.                     begin   Q0 = 1; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  1288.                 else if (count_reg == 600)
  1289.                     begin   Q0 = 1; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  1290.                 else if (count_reg == 601)
  1291.                     begin   Q0 = 1; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  1292.                 else if (count_reg == 602)
  1293.                     begin   Q0 = 1; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end                
  1294.                 else if (count_reg == 603)
  1295.                     begin   Q0 = 32; Q1 = 33; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end                  
  1296.                 else if (count_reg == 604)
  1297.                     begin   Q0 = 32; Q1 = 0; Q2 = 0; Q3 = 33; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end                  
  1298.                 else if (count_reg == 605)
  1299.                     begin   Q0 = 32; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 33; Q6 = 0; Q7 = 0; end                  
  1300.                 else if (count_reg == 606)
  1301.                     begin   Q0 = 32; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 33; Q7 = 0; end                  
  1302.                 else if (count_reg == 607)
  1303.                     begin   Q0 = 32; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 33; end                  
  1304.                 else if (count_reg == 608)
  1305.                     begin   Q0 = 32; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 33; end
  1306.                 else if (count_reg == 609)
  1307.                     begin   Q0 = 32; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 33; end                  
  1308.                 else if (count_reg == 610)
  1309.                     begin   Q0 = 32; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 33; end
  1310.                 else if (count_reg == 611)
  1311.                     begin   Q0 = 34; Q1 = 35; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 33; end
  1312.                 else if (count_reg == 612)
  1313.                     begin   Q0 = 34; Q1 = 0; Q2 = 0; Q3 = 35; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 33; end
  1314.                 else if (count_reg == 613)
  1315.                     begin   Q0 = 34; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 35; Q6 = 0; Q7 = 33; end
  1316.                 else if (count_reg == 614)
  1317.                     begin   Q0 = 34; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 36; end
  1318.                 else if (count_reg == 615)
  1319.                     begin   Q0 = 34; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 36; end
  1320.                 else if (count_reg == 616)
  1321.                     begin   Q0 = 34; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 36; end
  1322.                 else if (count_reg == 617)
  1323.                     begin   Q0 = 34; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 36; end
  1324.                 else if (count_reg == 618)
  1325.                     begin   Q0 = 37; Q1 = 38; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 36; end
  1326.                 else if (count_reg == 619)
  1327.                     begin   Q0 = 37; Q1 = 0; Q2 = 0; Q3 = 38; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 36; end
  1328.                 else if (count_reg == 620)
  1329.                     begin   Q0 = 37; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 38; Q6 = 0; Q7 = 36; end
  1330.                 else if (count_reg == 621)
  1331.                     begin   Q0 = 37; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 39; end
  1332.                 else if (count_reg == 622)
  1333.                     begin   Q0 = 37; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 39; end
  1334.                 else if (count_reg == 623)
  1335.                     begin   Q0 = 37; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 39; end
  1336.                 else if (count_reg == 624)
  1337.                     begin   Q0 = 37; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 39; end
  1338.                 else if (count_reg == 625)
  1339.                     begin   Q0 = 40; Q1 = 41; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 39; end
  1340.                 else if (count_reg == 626)
  1341.                     begin   Q0 = 40; Q1 = 0; Q2 = 0; Q3 = 41; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 39; end
  1342.                 else if (count_reg == 627)
  1343.                     begin   Q0 = 40; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 41; Q6 = 0; Q7 = 39; end
  1344.                 else if (count_reg == 628)
  1345.                     begin   Q0 = 40; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 42; end
  1346.                 else if (count_reg == 629)
  1347.                     begin   Q0 = 40; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 42; end
  1348.                 else if (count_reg == 630)
  1349.                     begin   Q0 = 40; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 42; end
  1350.                 else if (count_reg == 631)
  1351.                     begin   Q0 = 40; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 42; end
  1352.                 else if (count_reg == 632)
  1353.                     begin   Q0 = 43; Q1 = 44; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 42; end
  1354.                 else if (count_reg == 633)
  1355.                     begin   Q0 = 43; Q1 = 0; Q2 = 0; Q3 = 44; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 42; end
  1356.                 else if (count_reg == 634)
  1357.                     begin   Q0 = 43; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 44; Q6 = 0; Q7 = 42; end
  1358.                 else if (count_reg == 635)
  1359.                     begin   Q0 = 43; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 45; end
  1360.                 else if (count_reg == 636)
  1361.                     begin   Q0 = 43; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 45; end
  1362.                 else if (count_reg == 637)
  1363.                     begin   Q0 = 43; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 45; end
  1364.                 else if (count_reg == 638)
  1365.                     begin   Q0 = 43; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 45; end
  1366.                 else if (count_reg == 639)
  1367.                     begin   Q0 = 46; Q1 = 47; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 45; end
  1368.                 else if (count_reg == 640)
  1369.                     begin   Q0 = 46; Q1 = 0; Q2 = 0; Q3 = 47; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 45; end
  1370.                 else if (count_reg == 641)
  1371.                     begin   Q0 = 46; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 47; Q6 = 0; Q7 = 45; end
  1372.                 else if (count_reg == 642)
  1373.                     begin   Q0 = 46; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 48; end
  1374.                 else if (count_reg == 643)
  1375.                     begin   Q0 = 46; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 48; end
  1376.                 else if (count_reg == 644)
  1377.                     begin   Q0 = 46; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 48; end
  1378.                 else if (count_reg == 645)
  1379.                     begin   Q0 = 46; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 48; end
  1380.                 else if (count_reg == 646)
  1381.                     begin   Q0 = 0; Q1 = 46; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 48; end
  1382.                 else if (count_reg == 647)
  1383.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 46; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 48; end
  1384.                 else if (count_reg == 648)
  1385.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 46; Q6 = 0; Q7 = 48; end
  1386.                 else if (count_reg == 649)
  1387.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 1; end
  1388.                 else if (count_reg == 650)
  1389.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 1; end
  1390.                 else if (count_reg == 651)
  1391.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 1; end
  1392.                 else if (count_reg == 652)
  1393.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 1; end
  1394.                
  1395.                 else if (count_reg == 653)
  1396.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 2; Q7 = 31; end
  1397.                 else if (count_reg == 654)
  1398.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 2; Q5 = 0; Q6 = 0; Q7 = 31; end
  1399.                 else if (count_reg == 655)
  1400.                     begin   Q0 = 0; Q1 = 0; Q2 = 2; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 31; end   
  1401.                 else if (count_reg == 656)
  1402.                     begin   Q0 = 2; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 31; end   
  1403.                 else if (count_reg == 657)
  1404.                     begin   Q0 = 2; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 3; Q7 = 30; end   
  1405.                 else if (count_reg == 658)
  1406.                     begin   Q0 = 2; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 3; Q5 = 0; Q6 = 0; Q7 = 30; end
  1407.                 else if (count_reg == 659)
  1408.                     begin   Q0 = 2; Q1 = 0; Q2 = 3; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 30; end
  1409.                 else if (count_reg == 660)
  1410.                     begin   Q0 = 20; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 30; end
  1411.                 else if (count_reg == 661)
  1412.                     begin   Q0 = 20; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 4; Q7 = 29; end
  1413.                 else if (count_reg == 662)
  1414.                     begin   Q0 = 20; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 4; Q5 = 0; Q6 = 0; Q7 = 29; end
  1415.                 else if (count_reg == 663)
  1416.                     begin   Q0 = 20; Q1 = 0; Q2 = 4; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 29; end
  1417.                 else if (count_reg == 664)
  1418.                     begin   Q0 = 21; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 29; end
  1419.                 else if (count_reg == 665)
  1420.                     begin   Q0 = 21; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 5; Q7 = 28; end
  1421.                 else if (count_reg == 666)
  1422.                     begin   Q0 = 21; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 5; Q5 = 0; Q6 = 0; Q7 = 28; end
  1423.                 else if (count_reg == 667)
  1424.                     begin   Q0 = 21; Q1 = 0; Q2 = 5; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 28; end
  1425.                 else if (count_reg == 668)
  1426.                     begin   Q0 = 22; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 28; end
  1427.                 else if (count_reg == 669)
  1428.                     begin   Q0 = 22; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 6; Q7 = 27; end
  1429.                 else if (count_reg == 670)
  1430.                     begin   Q0 = 22; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 6; Q5 = 0; Q6 = 0; Q7 = 27; end
  1431.                 else if (count_reg == 671)
  1432.                     begin   Q0 = 22; Q1 = 0; Q2 = 6; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 27; end
  1433.                 else if (count_reg == 672)
  1434.                     begin   Q0 = 23; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 27; end  
  1435.                 else if (count_reg == 673)
  1436.                     begin   Q0 = 23; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 7; Q7 = 26; end
  1437.                 else if (count_reg == 674)
  1438.                     begin   Q0 = 23; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 7; Q5 = 0; Q6 = 0; Q7 = 26; end
  1439.                 else if (count_reg == 675)
  1440.                     begin   Q0 = 23; Q1 = 0; Q2 = 7; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 26; end
  1441.                 else if (count_reg == 676)
  1442.                     begin   Q0 = 24; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 26; end
  1443.                 else if (count_reg == 677)
  1444.                     begin   Q0 = 24; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 8; Q7 = 9; end
  1445.                 else if (count_reg == 678)
  1446.                     begin   Q0 = 24; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 8; Q5 = 0; Q6 = 0; Q7 = 9; end
  1447.                 else if (count_reg == 679)
  1448.                     begin   Q0 = 24; Q1 = 0; Q2 = 8; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 9; end
  1449.                 else if (count_reg == 680)
  1450.                     begin   Q0 = 25; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 9; end
  1451.                 else if (count_reg == 681)
  1452.                     begin   Q0 = 25; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 9; Q7 = 0; end
  1453.                 else if (count_reg == 682)
  1454.                     begin   Q0 = 25; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 9; Q5 = 0; Q6 = 0; Q7 = 0; end
  1455.                 else if (count_reg == 683)
  1456.                     begin   Q0 = 25; Q1 = 0; Q2 = 9; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  1457.                 else if (count_reg == 684)
  1458.                     begin   Q0 = 1; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  1459.                 else if (count_reg == 685)
  1460.                     begin   Q0 = 1; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  1461.                 else if (count_reg == 686)
  1462.                     begin   Q0 = 1; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  1463.                
  1464.                 else if (count_reg == 687)
  1465.                     begin   Q0 = 500; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  1466.                 else if (count_reg == 688)
  1467.                     begin   Q0 = 501; Q1 = 0; Q2 = 500; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  1468.                 else if (count_reg == 689)
  1469.                     begin   Q0 = 0; Q1 = 0; Q2 = 501; Q3 = 0; Q4 = 500; Q5 = 0; Q6 = 0; Q7 = 0; end
  1470.                 else if (count_reg == 690)
  1471.                     begin   Q0 = 502; Q1 = 0; Q2 = 0; Q3 = 501; Q4 = 0; Q5 = 0; Q6 = 500; Q7 = 0; end
  1472.                 else if (count_reg == 691)
  1473.                     begin   Q0 = 0; Q1 = 0; Q2 = 502; Q3 = 0; Q4 = 0; Q5 = 501; Q6 = 0; Q7 = 500; end
  1474.                 else if (count_reg == 692)
  1475.                     begin   Q0 = 500; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  1476.                 else if (count_reg == 693)
  1477.                     begin   Q0 = 501; Q1 = 0; Q2 = 500; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  1478.                 else if (count_reg == 694)
  1479.                     begin   Q0 = 0; Q1 = 0; Q2 = 501; Q3 = 0; Q4 = 500; Q5 = 0; Q6 = 0; Q7 = 0; end
  1480.                 else if (count_reg == 695)
  1481.                     begin   Q0 = 502; Q1 = 0; Q2 = 0; Q3 = 501; Q4 = 0; Q5 = 0; Q6 = 500; Q7 = 0; end
  1482.                 else if (count_reg == 696)
  1483.                     begin   Q0 = 0; Q1 = 0; Q2 = 502; Q3 = 0; Q4 = 0; Q5 = 501; Q6 = 0; Q7 = 500; end
  1484.                 else if (count_reg == 697)
  1485.                     begin   Q0 = 500; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  1486.                 else if (count_reg == 698)
  1487.                     begin   Q0 = 501; Q1 = 0; Q2 = 500; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  1488.                 else if (count_reg == 699)
  1489.                     begin   Q0 = 0; Q1 = 0; Q2 = 501; Q3 = 0; Q4 = 500; Q5 = 0; Q6 = 0; Q7 = 0; end
  1490.                 else if (count_reg == 700)
  1491.                     begin   Q0 = 502; Q1 = 0; Q2 = 0; Q3 = 501; Q4 = 0; Q5 = 0; Q6 = 500; Q7 = 0; end
  1492.                 else if (count_reg == 701)
  1493.                     begin   Q0 = 0; Q1 = 0; Q2 = 502; Q3 = 0; Q4 = 0; Q5 = 501; Q6 = 0; Q7 = 500; end
  1494.                 else if (count_reg == 702)
  1495.                     begin   Q0 = 500; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  1496.                 else if (count_reg == 703)
  1497.                     begin   Q0 = 501; Q1 = 0; Q2 = 500; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  1498.                 else if (count_reg == 704)
  1499.                     begin   Q0 = 0; Q1 = 0; Q2 = 501; Q3 = 0; Q4 = 500; Q5 = 0; Q6 = 0; Q7 = 0; end
  1500.                 else if (count_reg == 705)
  1501.                     begin   Q0 = 502; Q1 = 0; Q2 = 0; Q3 = 501; Q4 = 0; Q5 = 0; Q6 = 500; Q7 = 0; end
  1502.                 else if (count_reg == 706)
  1503.                     begin   Q0 = 0; Q1 = 0; Q2 = 502; Q3 = 0; Q4 = 0; Q5 = 501; Q6 = 0; Q7 = 500; end
  1504.                 else if (count_reg == 707)
  1505.                     begin   Q0 = 500; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  1506.                 else if (count_reg == 708)
  1507.                     begin   Q0 = 501; Q1 = 0; Q2 = 500; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  1508.                 else if (count_reg == 709)
  1509.                     begin   Q0 = 0; Q1 = 0; Q2 = 501; Q3 = 0; Q4 = 500; Q5 = 0; Q6 = 0; Q7 = 0; end
  1510.                 else if (count_reg == 710)
  1511.                     begin   Q0 = 502; Q1 = 0; Q2 = 0; Q3 = 501; Q4 = 0; Q5 = 0; Q6 = 500; Q7 = 0; end
  1512.                 else if (count_reg == 711)
  1513.                     begin   Q0 = 0; Q1 = 0; Q2 = 502; Q3 = 0; Q4 = 0; Q5 = 501; Q6 = 0; Q7 = 500; end
  1514.                 else if (count_reg == 712)
  1515.                     begin   Q0 = 500; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  1516.                 else if (count_reg == 713)
  1517.                     begin   Q0 = 501; Q1 = 0; Q2 = 500; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  1518.                 else if (count_reg == 714)
  1519.                     begin   Q0 = 0; Q1 = 0; Q2 = 501; Q3 = 0; Q4 = 500; Q5 = 0; Q6 = 0; Q7 = 0; end
  1520.                 else if (count_reg == 715)
  1521.                     begin   Q0 = 502; Q1 = 0; Q2 = 0; Q3 = 501; Q4 = 0; Q5 = 0; Q6 = 500; Q7 = 0; end
  1522.                 else if (count_reg == 716)
  1523.                     begin   Q0 = 0; Q1 = 0; Q2 = 502; Q3 = 0; Q4 = 0; Q5 = 501; Q6 = 0; Q7 = 500; end
  1524.                 else if (count_reg == 717)
  1525.                     begin   Q0 = 500; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  1526.                 else if (count_reg == 718)
  1527.                     begin   Q0 = 501; Q1 = 0; Q2 = 500; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  1528.                 else if (count_reg == 719)
  1529.                     begin   Q0 = 0; Q1 = 0; Q2 = 501; Q3 = 0; Q4 = 500; Q5 = 0; Q6 = 0; Q7 = 0; end
  1530.                 else if (count_reg == 720)
  1531.                     begin   Q0 = 0; Q1 = 0; Q2 = 501; Q3 = 0; Q4 = 500; Q5 = 0; Q6 = 0; Q7 = 0; end
  1532.                 else if (count_reg == 721)
  1533.                     begin   Q0 = 502; Q1 = 0; Q2 = 0; Q3 = 501; Q4 = 0; Q5 = 0; Q6 = 500; Q7 = 0; end
  1534.                 else if (count_reg == 722)
  1535.                     begin   Q0 = 0; Q1 = 0; Q2 = 502; Q3 = 0; Q4 = 0; Q5 = 501; Q6 = 0; Q7 = 500; end
  1536.                 else if (count_reg == 723)
  1537.                     begin   Q0 = 500; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  1538.                 else if (count_reg == 724)
  1539.                     begin   Q0 = 0; Q1 = 0; Q2 = 501; Q3 = 0; Q4 = 500; Q5 = 0; Q6 = 0; Q7 = 0; end
  1540.                 else if (count_reg == 725)
  1541.                     begin   Q0 = 0; Q1 = 0; Q2 = 501; Q3 = 0; Q4 = 500; Q5 = 0; Q6 = 0; Q7 = 0; end
  1542.                 else if (count_reg == 726)
  1543.                     begin   Q0 = 502; Q1 = 0; Q2 = 0; Q3 = 501; Q4 = 0; Q5 = 0; Q6 = 500; Q7 = 0; end
  1544.                
  1545.                
  1546.                
  1547.                 else
  1548.                     begin   Q0 = 0; Q1 = 0; Q2 = 0; Q3 = 0; Q4 = 0; Q5 = 0; Q6 = 0; Q7 = 0; end
  1549.             end
  1550.        
  1551.        
  1552.      
  1553.         Scanning Show(clkosc,Q7,Q6,Q5,Q4,Q3,Q2,Q1,Q0,IC8row,IC8column);
  1554.  
  1555. endmodule
Add Comment
Please, Sign In to add comment