Advertisement
Guest User

Untitled

a guest
Apr 24th, 2019
107
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. module question2_tb();
  2.     reg clk, resetn, s;
  3.     reg [7:0] DA;
  4.     reg [3:0] DB;
  5.     reg [3:0] readData[0:63];
  6.     reg [6:0] dataIndex;
  7.  
  8.     initial
  9.     begin
  10.         clk <= 0;
  11.         resetn <= 0;
  12.         s <= 0;
  13.         DA <= 8'b0;
  14.         DB <= 4'b0;
  15.         $readmemb("C:/Users/Administrator/Desktop/Assignment3/Question2/Inputs.txt", readData);
  16.     end
  17.  
  18.     question2 dut(.clock(clk), .resetn(resetn), .s(s), .done(done), .DA(DA), .DB(DB), .A(A), .B(B), .P(P));
  19.  
  20.     always
  21.     begin
  22.         #10
  23.         clk <= ~clk;
  24.     end
  25.    
  26.     always @(posedge clk)
  27.     begin
  28.         resetn <= readData[0][dataIndex];
  29.         dataIndex <= dataIndex + 1;
  30.         DA <= readData[dataIndex];
  31.         dataIndex <= dataIndex + 1;
  32.         DB <= readData[dataIndex];
  33.         dataIndex <= dataIndex + 1;
  34.         s <= readData[0][dataIndex];
  35.         dataIndex <= dataIndex + 1;
  36.     end
  37. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement